Electrically Conductive Material (e.g., Metal, Conductive Oxide, Etc.) Patents (Class 438/742)
  • Patent number: 6960529
    Abstract: Methods for protecting the sidewall of a metal interconnect component using Physical Vapor Deposition (PVD) processes and using a single barrier metal material. After forming the metal interconnect component, a single barrier metal is deposited on its sidewall using PVD. A subsequent anisotropic etching of the barrier metal removes the barrier metal from the horizontal surface except for some that still remains on the top surface of the metal interconnect layer. A dielectric layer is then formed over the metal interconnect component and the barrier metal. The unlanded via is etched through the dielectric layer to the metal interconnect component, and then filled with a second metal to thereby allow the metal interconnect component to electrically connect with one or more upper metal layers.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: November 1, 2005
    Assignee: AMI Semiconductor, Inc.
    Inventors: Mark M. Nelson, Brett N. Williams, Jagdish Prasad
  • Patent number: 6958295
    Abstract: A method for containing the critical dimension growth of the feature on a semiconductor substrate includes placing a substrate with a hard mask comprised of a reactive metal or an oxidized reactive metal in a chamber and etching the wafer. The method further includes using a hard mask which has a low sputter yield and a low reactivity to the etch chemistry of the process.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: October 25, 2005
    Assignee: Tegal Corporation
    Inventors: Stephen P. DeOrnellas, Leslie G. Jerde, Alferd Cofer
  • Patent number: 6955992
    Abstract: A method of dry etching a PCMO stack, includes preparing a substrate; depositing a barrier layer; depositing a bottom electrode; depositing a PCMO thin film; depositing a top electrode; depositing a hard mask layer; applying photoresist and patterning; etching the hard mask layer; dry etching the top electrode; dry etching the PCMO layer in a multi-step etching process; dry etching the bottom electrode; and completing the PCMO-based device.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: October 18, 2005
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Fengyan Zhang, Sheng Teng Hsu
  • Patent number: 6951820
    Abstract: A method for containing the critical dimension growth of the feature on a semiconductor substrate includes placing a substrate with a hard mask comprised of a reactive metal or an oxidized reactive metal in a chamber and etching the wafer. The method further includes using a hard mask which has a low sputter yield and a low reactivity to the etch chemistry of the process.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: October 4, 2005
    Assignee: Silicon Valley Bank
    Inventors: Stephen P. DeOrnellas, Leslie G. Jerde, Alferd Cofer
  • Patent number: 6933236
    Abstract: A method for forming a photoresist pattern with minimally reduced transformations through the use of ArF photolithography, including the steps of: forming an organic anti-reflective coating layer on a an etch-target layer already formed on a substrate; coating a photoresist for ArF on the organic anti-reflective coating layer; exposing the photoresist with ArF laser; forming a first photoresist pattern by developing the photoresist, wherein portions of the organic anti-reflective coating layer are revealed; etching the organic anti-reflective coating layer with the first photoresist pattern as an etch mask and forming a second photoresist pattern by attaching polymer to the first photoresist pattern, wherein the polymer is generated during etching the organic anti-reflection coating layer with an etchant including O2 plasma; and etching the etch-target layer by using the second photoresist pattern as an etch mask.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: August 23, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Sang-Ik Kim, Weon-Joon Suh
  • Patent number: 6930027
    Abstract: A method of manufacturing a semiconductor component includes forming a first electrically insulating layer (120) and a second electrically insulating layer (130) over a semiconductor substrate (110). The method further includes etching a first trench (140) and a second trench (150) through the first and second electrically insulating layers and into the semiconductor substrate, and etching a third trench (610) through a bottom surface of the second trench and into the semiconductor substrate. The third trench has a first portion (920) and a second portion (930) interior to the first portion. The method still further includes forming a third electrically insulating layer (910) filling the first trench and the first portion of the third trench without filling the second portion of the third trench, and also includes forming a plug layer (1010) in the second portion of the third trench.
    Type: Grant
    Filed: February 18, 2003
    Date of Patent: August 16, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Vijay Parthasarathy, Vishnu Khemka, Ronghua Zhu, Amitava Bose, Todd Roggenbauer, Paul Hui, Michael C. Butner
  • Patent number: 6890846
    Abstract: Provided is a manufacturing method of a semiconductor device which comprises (a) depositing a first insulating film over a wafer, (b) forming an interconnect opening in the first insulating film, (c) forming, in the interconnect opening, an interconnect having a conductor film comprised mainly of copper, (d) forming a taper at a corner of said conductor film on the opening side of the interconnect opening, and (e) depositing a second insulating film over the first insulating film and interconnect. The present invention makes it possible to improve dielectric breakdown strength between interconnects each having a main conductor film comprised mainly of copper.
    Type: Grant
    Filed: November 14, 2002
    Date of Patent: May 10, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Junji Noguchi
  • Patent number: 6855639
    Abstract: A high-K thin film patterning solution is disclosed to address structural and process limitations of conventional patterning techniques. Subsequent to formation of gate structures adjacent a high-K dielectric layer, a portion of the high-K dielectric layer material is reduced, preferably via exposure to hydrogen gas, to form a reduced portion of the high-K dielectric layer. The reduced portion may be selectively removed utilizing wet etch chemistries to leave behind a trench of desirable geometric properties.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: February 15, 2005
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Mark L. Doczy, Matthew V. Metz, John Barnak, Paul R. Markworth
  • Patent number: 6844268
    Abstract: A semiconductor device of the present invention is a semiconductor memory having a charge storage film. Recesses or holes which effectively increase the capacitance of a floating gate or a memory cell capacitor are formed in the charge storage film. These recesses or holes are formed at the same time the floating gate electrode or the lower electrode of the capacitor is isolated into the form of islands. A dielectric film and a polysilicon film is formed on the isolated island floating gate electrodes or lower electrodes. These recesses or holes increase the surface area of the dielectric film and improve the write and erase characteristics of a memory cell.
    Type: Grant
    Filed: September 1, 1999
    Date of Patent: January 18, 2005
    Assignee: Nippon Steel Corporation
    Inventor: Fumitaka Sugaya
  • Patent number: 6835668
    Abstract: The invention includes a method of cleaning a surface of a copper-containing material by exposing the surface to an acidic mixture comprising NO3−, F− and one or more organic acid anions having carboxylate groups. The invention also includes a semiconductor processing method of forming an opening to a copper-containing material. A mass is formed over a copper-containing material within an opening in a substrate. The mass contains at least one of an oxide barrier material and a dielectric material. A second opening is etched through the mass into the copper-containing material to form a base surface of the copper-containing material that is at least partially covered by particles comprising at least one of a copper oxide, a silicon oxide or a copper fluoride. The base surface is cleaned with a solution comprising nitric acid, hydrofluoric acid and one or more organic acids to remove at least some of the particles.
    Type: Grant
    Filed: September 25, 2002
    Date of Patent: December 28, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Michael T. Andreas, Paul A. Morgan
  • Patent number: 6828230
    Abstract: An integrated circuit includes a substrate having a surface. A first conductive path is disposed on the substrate at a first level and has a first height. A second conductive path is also disposed on the substrate at the first level and has a second height that is significantly different than the first height. Where the integrated circuit is a memory circuit, the digit lines formed from a layer can have a smaller height than other signal lines that are formed from the same layer. Thus, the capacitive coupling between the digit lines can be reduced without degrading the current carrying capability of the other signal lines.
    Type: Grant
    Filed: September 1, 1999
    Date of Patent: December 7, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Aaron Schoenfeld, Rajesh Somasekharan
  • Patent number: 6815364
    Abstract: Disclosed is a method of tungsten-based hard mask etching of a wafer, comprising providing a patterned tungsten-based hard mask atop a metal-based surface of said wafer, etching through said pattern with a plasma etch that is selective for said metal-based surface with respect to tungsten, and executing a flash etch selective for tungsten, said etch of at least a minimum duration effective in removing substantially all defects caused by tungsten particulate contaminating said wafer. In another aspect of the first embodiment, said tungsten-based hard mask comprises a material selected from tungsten or an alloy thereof. In another aspect of the first embodiment, said metal based surface comprises a material selected from aluminum or an alloy thereof.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: November 9, 2004
    Assignee: Infineon Technologies North America Corp.
    Inventors: George Stojakovic, Matthias Lipinski
  • Patent number: 6815337
    Abstract: A process for reducing the risk of removing metal from an underlying metal structure during a dry etch procedure used to define a borderless, overlying metal line structure, has been developed. After formation of a damascene type, underlying metal structure, deposition of an metal layer and of an overlying silicon oxide layer, is performed. A photoresist shape is used as an etch mask to allow formation of a partially etched metal line structure to be accomplished in the silicon oxide layer, and in a top portion of the metal layer. Insulator spacers are then formed on the sides of the partially etched metal line structure, resulting in a wider, partially etched metal line structure. The hard mask now presented by the defined silicon oxide component of the partially etched metal line structure, is then used as an etch mask allowing a final metal line structure, wider than the partially etched metal line structure, to be obtained.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: November 9, 2004
    Assignee: Episil Technologies, Inc.
    Inventor: Hsi Mao Hsiao
  • Publication number: 20040214449
    Abstract: A precision mask for deposition is provided that includes a first brace having a plurlaity of sections placed in parallel to each other at given intervals. The first brace forms portions that define a plurality of first openings. The precision mask for deposition also includes at least one second brace that is placed on the first brace so as to intersect with the first brace. The second brace forms portions that define a plurality of second openings. The second brace is joined to the first brace at a point where the second brace intersects with the first brace.
    Type: Application
    Filed: January 20, 2004
    Publication date: October 28, 2004
    Inventors: Shinichi Yotsuya, Takayuki Kuwahara
  • Patent number: 6794304
    Abstract: A method of making a semiconductor device includes providing a first element formed of a first substantially electrically conductive material and having an upper surface. A second element adjacent to the first element is provided. The second element is formed of a first substantially non-electrically conductive material. An upper surface of the second element slopes downwardly toward the upper surface of the first element. A first layer of a second substantially non-electrically conductive material is disposed over the upper surface of the first element and the upper surface of the second element. The first layer has a thickness in the vertical direction that is greater in an area over the downward slope of the second element than in an area over the first element. An etching process is performed such that the layer is perforated above the upper surface of the first element and imperforated in the vertically thicker area above the downwardly sloping upper surface of the second element.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: September 21, 2004
    Assignee: LSI Logic Corporation
    Inventors: Shiqun Gu, Masaichi Eda, Peter McGrath, Hong Lin, Jim Elmer
  • Patent number: 6753266
    Abstract: An exemplary method of fabricating an integrated circuit can include depositing a reflective metal material layer over a layer of polysilicon, depositing an anti-reflective coating over the reflective metal material layer, trim etching the anti-reflective coating to form a pattern, etching the reflective metal material layer according to the pattern, and removing portions of the polysilicon layer using the pattern formed from the removed portions of anti-reflective coating.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: June 22, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Todd P. Lukanc, Scott A. Bell, Christopher F. Lyons, Marina V. Plat, Ramkumar Subramanian
  • Patent number: 6740600
    Abstract: A thermoelectric device with improved efficiency is provided. In one embodiment, the thermoelectric device includes a first thermoelement and a second thermoelement electrically coupled to the first thermoelement. An array of first tips are in close physical proximity to, but not necessarily in physical contact with, the first thermoelement at a first set of discrete points. An array of second tips are in close physical proximity to, but not necessarily in physical contact with, the second thermoelement at a second set of discrete points. The first and second conical are constructed entirely from metal, thus reducing parasitic resistances.
    Type: Grant
    Filed: February 11, 2002
    Date of Patent: May 25, 2004
    Assignee: International Business Machines Corporation
    Inventors: Uttam Shyamalindu Ghoshal, Errol Wayne Robinson
  • Patent number: 6740599
    Abstract: A semiconductor device having reliable electrode contacts. First, an interlayer dielectric film is formed from a resinous material. Then, window holes are formed. The interlayer dielectric film is recessed by oxygen plasma. This gives rise to tapering window holes. This makes it easy to make contacts even if the circuit pattern is complex.
    Type: Grant
    Filed: September 4, 2001
    Date of Patent: May 25, 2004
    Inventors: Shunpei Yamazaki, Takeshi Fukunaga
  • Patent number: 6723655
    Abstract: The present invention discloses methods for fabricating a semiconductor device. In one embodiment, a conductive interconnection is formed on a semiconductor substrate to overlap with a mask insulating film pattern. An insulating film spacer is formed at side walls of the pattern, a high temperature oxide layer is formed on the resultant structure, and an interlayer insulating film is formed on the HTO film to planarize the surface of the resultant structure. Storage electrode and bit line contact holes are formed to expose the semiconductor substrate, by etching the interlayer insulating film according to a photolithography process using a contact mask. A landing plug poly is formed by depositing a conductive layer for a contact plug to fill up the contact holes.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: April 20, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hyung Soon Park, Jong Goo Jung
  • Patent number: 6713397
    Abstract: A gate electrode layer formed on a semiconductor substrate is etched. A gate electrode is formed while forming metal system sub-products onto the side walls of the gate electrode layer. The metal system sub-products formed on the side walls of the gate electrode layer are oxidized. The oxidized metal system sub-products are removed by a solution whose etching rate for the gate insulative film has been adjusted to 10 Å/min or less.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: March 30, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Yasutaka Kobayashi
  • Patent number: 6696222
    Abstract: A dual damascene process is provided on a semiconductor substrate, having a conductive structure and a low-k dielectric layer covering the conductive structure. A first hard mask and a second hard mask are sequentially formed on the low-k dielectric layer, in which at least the hard mask contacting the low-k dielectric layer is of metallic material. Next, a first opening is formed in the second hard mask over the conductive structure, and a second opening is then formed in the first hard mask under the first opening. Afterward, the low-k dielectric layer that is not covered by the first hard mask is removed, thus a via hole is formed. Thereafter, the first hard mask that is not covered by the second hard mask is removed, and then the exposed low-k dielectric layer is removed. Thereby, a trench is formed over the via hole.
    Type: Grant
    Filed: July 24, 2001
    Date of Patent: February 24, 2004
    Assignee: Silicon Integrated Systems Corp.
    Inventors: Chen-Chiu Hsue, Shyh-Dar Lee
  • Patent number: 6696364
    Abstract: A method for manipulating MEMS devices integrated on a semiconductor wafer and intended to be diced one from the other includes bonding of the semiconductor wafer including the MEMS devices on a support with interposition of a bonding sheet. The method may also include completely cutting or dicing of the semiconductor wafer into a plurality of independent MEMS devices, and processing the MEMS devices diced and bonded on the support in a treatment environment for semiconductor wafers. A support for manipulating MEMS devices is also included.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: February 24, 2004
    Assignee: STMicroelectronics S.r.l.
    Inventors: Ilaria Gelmi, Simone Sassolini, Stefano Pozzi, Massimo Garavaglia
  • Patent number: 6689682
    Abstract: A multilayer electrically conductive stack is formed in a semiconductor device prior to one step of photolithography. In this multilayer electrically conductive stack, alternate layers of the stack contain materials that differ in their refractive indices. In one instance, the electrically conductive stack can serve as an anti-reflective coating in the photolithographical processing. As the electrically conductive stack has chemical and electrical properties similar to those of an underlying device structures, removal of the multilayer stack after the photolithographical step is not required. In one instance, the electrically conductive stack can be used to form a gate structure or an interconnect structure. In an embodiment of the invention, alternate layers consist of Si1−xGex and Si, respectively.
    Type: Grant
    Filed: August 7, 2001
    Date of Patent: February 10, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Robert B. Ogle, Tuan Duc Pham, Marina V. Plat
  • Patent number: 6664604
    Abstract: A metal gate structure and method of forming the same employs an etch stop layer between a first metal layer, made of TiN, for example, and the metal gate formed of tungsten. The etch stop layer prevents overetching of the TiN during the etching of the tungsten in the formation of the metal gate. The prevention of the overetching of the TiN protects the gate oxide from undesirable degradation. The provision of aluminum or tantalum in the etch stop layer allows a thin etch stop layer to be used that provides adequate etch stopping capability and does not undesirably affect the work function of the TiN.
    Type: Grant
    Filed: October 18, 2002
    Date of Patent: December 16, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul R. Besser, Srikanteswara Dakshina-Murthy
  • Patent number: 6664179
    Abstract: A semiconductor device production method that is used to uniformly and efficiently reduce metal oxides produced on metal (copper, for example) which forms electrodes or wirings on a semiconductor device. An object to be treated on which copper oxides are produced is put into a process chamber and is heated by a heater to a predetermined temperature. Then carboxylic acid stored in a storage tank is vaporized by a carburetor. The vaporized carboxylic acid, together with carrier gas, is introduced into the process chamber via a treating gas feed pipe to reduce the copper oxides produced on the object to be treated to metal copper. As a result, metal oxides can be reduced uniformly without making the surfaces of electrodes or wirings irregular. Moreover, in this case, carbon dioxide and water are both produced in a gaseous state. This prevents impurities from remaining on the surface of copper.
    Type: Grant
    Filed: August 20, 2002
    Date of Patent: December 16, 2003
    Assignee: Fujitsu Limited
    Inventors: Ade Asneil Akbar, Takayuki Ohba
  • Patent number: 6660647
    Abstract: A surface processing method of a sample having a mask layer that does not contain carbon as a major component formed on a substance to be processed, the substance being a metal, semiconductor and insulator deposited on a silicon substrate, includes the steps of installing the sample on a sample board in a vacuum container, generating a plasma that consists of a mixture of halogen gas and adhesive gas inside the vacuum container, applying a radio frequency bias voltage having a frequency ranging from 200 kHz to 20 MHz on the sample board, and controlling a periodic on-off of the radio frequency bias voltage with an on-off control frequency ranging from 100 Hz to 10 kHz.
    Type: Grant
    Filed: January 11, 2001
    Date of Patent: December 9, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Tetsuo Ono, Takafumi Tokunaga, Tadashi Umezawa, Motohiko Yoshigai, Tatsumi Mizutani, Tokuo Kure, Masayuki Kojima, Takashi Sato, Yasushi Goto
  • Patent number: 6660650
    Abstract: An embodiment of the instant invention is a method of fabricating an electronic device over a semiconductor substrate having an interconnecting structure comprised of aluminum, the method comprising the steps of: forming a conductive structure (layers 120, 122 and 128 of FIGS. 1a-1d) comprised of a metal; forming a dielectric layer (layer 130 of FIGS. 1a-1d) over the conductive structure, the dielectric layer having an upper surface; forming an opening in the dielectric layer so as to expose a portion of the conductive structure, the opening having sidewalls; selectively depositing an aluminum-containing conductive material (material 136 and 137 of FIG. 1c) in the opening; and performing an etchback process so as to remove any of the aluminum-containing conductive material formed on the hardmask and so as to etchback any portion of the aluminum-containing conductor which is situated over the upper surface of the dielectric layer.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: December 9, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Anthony J. Konecni, Wei-yung Hsu, Qi-zhong Hong
  • Publication number: 20030219991
    Abstract: A patterning method includes providing a first material (e.g., copper) and transforming at a least a surface region of the first material to a second material (e.g., copper oxide). One or more portions of the second material (e.g., copper oxide) are converted to one or more converted portions of first material (e.g., copper) while one or more portions of the second material (e.g., copper oxide) remain. One or more portions of the remaining second material (e.g., copper oxide) are removed selectively relative to converted portions of first material (e.g., copper). Further, a thickness of the converted portions may be increased. Yet further, a diffusion barrier layer may be used for certain applications.
    Type: Application
    Filed: June 16, 2003
    Publication date: November 27, 2003
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Joseph E. Geusic, Alan R. Reinberg
  • Patent number: 6653216
    Abstract: An active matrix substrate includes a line layer formed of an Al-based metal layer and a part of which is exposed through a contact hole formed in an insulating film. In the active matrix substrate, pixel electrodes are formed of an ITO film on the insulating film, and a jumper line for connecting a disconnected portion of a protect ring, a surface layer of a data line connecting pad, and a line protecting film are formed at the same time as the pixel electrodes are formed. This reduces the number of fabrication steps. The ITO film is patterned by dry etching due to reactive ion etching using a mixed gas of a hydrogen halide gas and an inert gas, with the temperatures of the center portion and peripheral portion of the substrate substantially equalized to each other.
    Type: Grant
    Filed: April 23, 2001
    Date of Patent: November 25, 2003
    Assignee: Casio Computer Co., Ltd.
    Inventors: Shinichi Shimomaki, Makoto Miyagawa, Hiromitsu Ishii, Yayoi Nakamura, Toshiaki Higashi
  • Patent number: 6651678
    Abstract: A method of etching a semiconductor device preventing tapering of a gate electrode edge includes a main etching of an electrode or wiring material supported by a dielectric film at a semiconductor substrate surface to expose the dielectric film. After the main etching step, residues of the electrode or the wiring material by sequentially etching utilizing a first gas mixture including a halogen-containing gas and an additive gas suppressing etching of the dielectric film by the halogen-containing gas, and in a second gas mixture gas including the halogen-containing gas and the additive gas and having the additive gas amount in a larger concentration than the first gas mixture.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: November 25, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kenji Shintani, Mutumi Tuda, Junji Tanimura, Takahiro Maruyama, Ryoichi Yoshifuku
  • Publication number: 20030211751
    Abstract: Combined e-beam and optical exposure lithography for semiconductor fabrication is disclosed. E-beam direct writing to is employed to create critical dimension (CD) areas of a semiconductor design on a semiconductor wafer. Optical exposure lithography is employed to create non-CD areas of the semiconductor design on the semiconductor CD's of the semiconductor design can also be separated from non-CD's of the semiconductor design prior to employing e-beam direct writing and optical exposure lithography.
    Type: Application
    Filed: May 8, 2002
    Publication date: November 13, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chin-Hsiang Lin
  • Publication number: 20030203642
    Abstract: An embodiment of the instant invention is a method of fabricating an electronic device formed on a semiconductor wafer, the method comprising the steps of: forming a layer of a first material (layer 622 of FIG. 6a) over the substrate; forming a photoresist layer (layer 626 of FIG. 6b) over the layer of the first material; patterning the layer of the first material; removing the photoresist layer after patterning the layer of the first material; and subjecting the semiconductor wafer to a plasma which incorporates a gas which includes hydrogen or deuterium so as to remove residue from the first material. Preferably, the step of removing the photoresist layer is performed by subjecting the semiconductor wafer to the plasma which incorporates a gas which substantially includes hydrogen or deuterium.
    Type: Application
    Filed: June 9, 2003
    Publication date: October 30, 2003
    Inventors: Patricia B. Smith, Guoqiang Xing, David B. Aldrich
  • Patent number: 6613687
    Abstract: The invention provides a method for making thin film metal oxide actuator device. According to the method a first conductive layer is deposited on a silicon substrate. Next a thin film metal oxide layer is deposited on the first conductive layer. A negative photoresist material is applied to the metal oxide layer to provide a photoresist layer. The photoresist layer is patterned using light radiation energy and developed to provide one or more exposed portions of the metal oxide layer. The photoresist layer is etched with a reactive ion plasma sufficient to remove the photoresist layer and the metal oxide layer under the photoresist layer from the first conductive layer leaving the one or more exposed portions of metal oxide layer on the first conductive layer. A second conductive layer is attached to the metal oxide layer to provide a thin film metal oxide actuator device.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: September 2, 2003
    Assignee: Lexmark International, Inc.
    Inventors: Brian Christopher Hart, James Michael Mrvos, Carl Edmond Sullivan, Gary Raymond Williams, Qing Ming Wang
  • Patent number: 6602428
    Abstract: A sensor for measuring a physical amount such as an amount of air includes a membrane structure composed of metal stripes sandwiched between first and second insulating layers. A metal layer made of platinum or the like is formed on the first insulating layer and then heat-treated to improve its properties. Then, the metal layer is etched into a form of the metal stripes. The second insulating layer made of a material such as silicon dioxide is formed on the etched metal stripes. Since the metal layer is heat-treated before it is etched into the form of metal stripes, the metal stripes are not deformed by the heat-treatment. The second insulating layer can be formed on the metal stripes without generating cracks in the second insulating layer.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: August 5, 2003
    Assignee: Denso Corporation
    Inventors: Hiroyuki Wado, Makiko Sugiura, Toshimasa Yamamoto, Yukihiro Takeuchi, Yasushi Kohno
  • Publication number: 20030139056
    Abstract: A method for fabricating features of different depth in a semiconductor substrate by differential etching. Each of the features is first defined by a temporary mask and a metal layer is deposited and processed to provide a negative image of the original mask, the metal layer then acting as a protective layer during etching of the semiconductor substrate to fabricate the desired feature. The technique also allows the possibility that portions of two features of different depth may connect by opening into one another.
    Type: Application
    Filed: November 27, 2002
    Publication date: July 24, 2003
    Inventors: Yee Loy Lam, Kian Hin Victor Teo, Hiroshi Nakamura, Cher Liang Randall Cha
  • Patent number: 6594898
    Abstract: A method of making ink jet printer head body provides a silicon wafer forming a restrictor plate over the silicon wafer by doping an impurity component. A nozzle plate is formed under the silicon wafer by doping an impurity component and a nozzle is formed by etching after the forming of the nozzle plate. A channel going through the restrictor plate and silicon wafer is formed by etching after the forming of the restrictor plate. The channel is formed of a wide upper portion and a narrow lower portion by patterning the silicon wafer and restrictor plate narrowly and etching the silicon wafer and restrictor plate, and then patterning the silicon wafer and restrictor plate widely and etching the silicon wafer and restrictor plate, except for the lower end of the silicon wafer. A restrictor at the restrictor plate is formed by etching after the patternings of the restrictor plate.
    Type: Grant
    Filed: June 16, 2000
    Date of Patent: July 22, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sang Kyeong Yun
  • Patent number: 6589873
    Abstract: There is disclosed a process for manufacturing a semiconductor device. When a metal film is formed by plasma CVD in a contact hole which penetrates an interlayer insulating film and reaches an electrode of the device, a gas comprising hydrogen and argon in a deposition chamber of a plasma CVD apparatus is introduced. Then a metal halide gas is introduced in the deposition chamber simultaneously with or before plasma generation.
    Type: Grant
    Filed: January 6, 2000
    Date of Patent: July 8, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Tetsuya Taguwa
  • Patent number: 6579806
    Abstract: The present invention relates to a method of etching tungsten or tungsten nitride in semiconductor structures. We have discovered a method of etching tungsten or tungsten nitride which permits precise etch profile control while providing a rapid etch rate. In particular, the method employs the use of a plasma source gas where the chemically functional etchant species are generated from a combination of sulfur hexafluoride (SF6) and nitrogen (N2), where the sulfur hexafluoride and nitrogen are provided in a volumetric flow rate ratio within the range of about 1:2.5 to about 6:1.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: June 17, 2003
    Assignee: Applied Materials Inc.
    Inventors: Padmapani Nallan, Hakeem Oluseyi
  • Patent number: 6576152
    Abstract: In a dry etching method for etching a structure obtained by successively depositing, on a substrate, a gate insulating film, a silicon base film, a tungsten film or an alloy film containing tungsten, the dry etching includes a first process of dry-etching the tungsten film or the alloy film including tungsten, and a second process of dry-etching the silicon base film, and the first process employs, as an etching gas, a gas mixture obtained by mixing O2 gas into a gas including at least C and F, with the flow ratio of the O2 gas being 10˜50% by volume percentages. This dry etching method realizes highly-precise dry etching by which a vertical configuration of the poly-metal structure is obtained, and the selection ratio of W with respect to poly-Si can be controlled and, moreover, penetration through the underlying gate oxide film is prevented.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: June 10, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Tetsuya Matsutani
  • Patent number: 6569775
    Abstract: A method of improving plasma processing of a semiconductor wafer by exposing the wafer or the plasma to photons while the wafer is being processed. One embodiment of the method comprises the steps of etching an aluminum layer and, during the etching, exposing the semiconductor wafer containing the aluminum layer to photons that photodesorb copper chloride from the surface of the layer thus improving the etch process performance.
    Type: Grant
    Filed: February 17, 2000
    Date of Patent: May 27, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Peter K. Loewenhardt, John M. Yamartino, Hui Chen, Diana Xiaobing Ma
  • Patent number: 6559059
    Abstract: The present invention provides a method of manufacturing a MOS transistor of an embedded memory. The method of the present invention involves first defining a memory array area and a periphery circuit region on the surface of the semiconductor wafer and to deposit a gate oxide layer, an undoped polysilicon layer and a dielectric layer, respectively. Next, the undoped polysilicon layer in the memory array area is implanted to form a doped polysilicon layer followed by the removal of the dielectric layer in the memory array area. Thereafter, a metallic silicide layer and a passivation layer are formed, respectively, on the surface of the semiconductor wafer. The passivation layer, the metallic silicide layer and the doped polysilicon layer are then etched to form a plurality of gates in the memory array area. Next, the passivation layer, the metallic silicide layer and the dielectric layer in the periphery circuit region are removed.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: May 6, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Sun-Chieh Chien, Chien-Li Kuo
  • Patent number: 6552256
    Abstract: A multi-stage cooler is formed from monolithically integrated thermionic and thermoelectric coolers, wherein the thermionic and thermoelectric coolers each have a separate electrical connection and a common ground, thereby forming a three terminal device. The thermionic cooler is comprised of a superlattice barrier surrounded by cathode and anode layers grown onto an appropriate substrate, one or more metal contacts with a finite surface area deposited on top of the cathode layer, and one or more mesas of different areas formed by etching around the contacts to the anode layer. The thermoelectric cooler is defined by metal contacts deposited on the anode layer or the substrate itself. A backside metal is deposited on the substrate for connecting to the common ground.
    Type: Grant
    Filed: March 6, 2001
    Date of Patent: April 22, 2003
    Assignee: The Regents of the University of California
    Inventors: Ali Shakouri, Christopher J. LaBounty, John E. Bowers
  • Patent number: 6548413
    Abstract: A new method of etching metal lines with reduced microloading effect is described. Semiconductor device structures are provided in and on a semiconductor substrate and covered with an insulating layer. A barrier metal layer is deposited overlying the insulating layer and a metal layer is deposited overlying the barrier metal layer. The metal layer is covered with a photoresist mask wherein there are both wide spaces and narrow spaces between portions of the photoresist mask. The metal layer is etched away where it is not covered by the photoresist mask wherein the barrier metal layer is reached within the wide spaces while some of the metal layer remains within the narrow spaces. The metal layer remaining within the narrow spaces is selectively etched away. Thereafter, the barrier metal layer not covered by the photoresist mask is etched away wherein the insulating layer is reached within the wide spaces while some of the barrier metal layer remains within the narrow spaces.
    Type: Grant
    Filed: March 26, 1998
    Date of Patent: April 15, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Paul Kwok Keung Ho, Thomas Schulue, Raymond Joy, Wai Lok Lee, Ramasamy Chockalingam, Ba Tuan Pham, Premachandran Vayalakkara
  • Patent number: 6534417
    Abstract: A process is provided for etching a silicon based material in a substrate, such as a photomask, to form features with straight sidewalls, flat bottoms, and high profile angles between the sidewalls and bottom, and minimizing the formation of polymer deposits on the substrate. In the etching process, the substrate is positioned in a processing chamber, a processing gas comprising a fluorocarbon, which advantageously is a hydrogen free fluorocarbon, is introduced into the processing chamber, wherein the substrate is maintained at a reduced temperature, and the processing gas is excited into a plasma state at a reduced power level to etch the silicon based material of the substrate. The processing gas may further comprise an inert gas, such as argon.
    Type: Grant
    Filed: April 19, 2002
    Date of Patent: March 18, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Brigitte C. Stoehr, Michael D. Welch
  • Publication number: 20030029832
    Abstract: A method for forming ultra-fine width lines on a substrate avoids occurrence of overetch/underetch defects in the many etching steps, as solder layer or copper film etching steps. With the present method the line shape is able to be achieved close to an ideal shape, so that the quality of the lines is high and the integration of the substrate is also high.
    Type: Application
    Filed: August 13, 2001
    Publication date: February 13, 2003
    Applicant: Compeq Manufacturing Company Limited
    Inventor: Ting-Hao Lin
  • Patent number: 6518194
    Abstract: A method for using intermediate transfer layers for transferring nanoscale patterns to substrates and forming nanostructures on substrates. An intermediate transfer layer is applied to a substrate surface, and one or more mask templates are then applied to the intermediate transfer layer. Holes are etched through the intermediate transfer layer, and material may be deposited into the etched holes.
    Type: Grant
    Filed: December 28, 2000
    Date of Patent: February 11, 2003
    Inventors: Thomas Andrew Winningham, Kenneth Douglas
  • Publication number: 20030022432
    Abstract: A metal oxide, utilized as a gate dielectric, is removed using a combination of gaseous HCl (HCl), heat, and an absence of rf. The metal oxide, which is preferably hafnium oxide, is effectively removed in the areas not under the gate electrode. The use of HCl results in the interfacial oxide that underlies the metal oxide not being removed. The interfacial is removed to eliminate the metal and is replaced by another interfacial oxide layer. The subsequent implant steps are thus through just an interfacial oxide and not through a metal oxide. Thus, the problems associated with implanting through a metal oxide are avoided.
    Type: Application
    Filed: July 26, 2001
    Publication date: January 30, 2003
    Inventors: Christopher C. Hobbs, Philip J. Tobin
  • Patent number: 6511911
    Abstract: A metal gate structure and method of forming the same employs an etch stop layer between a first metal layer, made of TiN, for example, and the metal gate formed of tungsten. The etch stop layer prevents overetching of the TiN during the etching of the tungsten in the formation of the metal gate. The prevention of the overetching of the TiN protects the gate oxide from undesirable degradation. The provision of aluminum or tantalum in the etch stop layer allows a thin etch stop layer to be used that provides adequate etch stopping capability and does not undesirably affect the work function of the TiN.
    Type: Grant
    Filed: April 3, 2001
    Date of Patent: January 28, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul R. Besser, Srikanteswara Dakshina-Murthy
  • Patent number: 6509276
    Abstract: A method including introducing a focused ion beam to a metal material on a substrate within a processing chamber and etching the metal material with variable pixel spacing.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: January 21, 2003
    Assignee: Intel Corporation
    Inventor: Dane L. Scott
  • Patent number: 6503845
    Abstract: A method of plasma etching a patterned tantalum nitride layer, which provides an advantageous etch rate and good profile control. The method employs a plasma source gas comprising a primary etchant to provide a reasonable tantalum etch rate, and a secondary etchant/profile-control additive to improve the etched feature profile. The primary etchant is either a fluorine-comprising or an inorganic chlorine-comprising gas. Where a fluorine-comprising gas is the primary etchant, the profile-control additive is a chlorine-comprising gas. Where the chlorine-comprising gas is the primary etchant, the profile-control additive is an inorganic bromine-comprising gas. By changing the ratio of the primary etchant to the profile-control additive, the etch rate and etch profile of the tantalum nitride can be controlled. For best results, the plasma is preferably a high density plasma (minimum electron density of 1011e−/cm3), and a bias power is applied to the semiconductor substrate to increase the etching anisotropy.
    Type: Grant
    Filed: May 1, 2001
    Date of Patent: January 7, 2003
    Assignee: Applied Materials Inc.
    Inventor: Padmapani Nallan