Electrically Conductive Material (e.g., Metal, Conductive Oxide, Etc.) Patents (Class 438/742)
  • Patent number: 6500767
    Abstract: A method of etching a metallic layer having an anti-reflection layer thereon. The method includes performing a first etching operation using a fixed set of processing parameters to etch the anti-reflection layer and remove a specified thickness of the metallic layer. Thereafter, a second etching operation is conducted to etch the remaining metallic layer.
    Type: Grant
    Filed: June 5, 2001
    Date of Patent: December 31, 2002
    Assignee: Macronix International Co., Ltd.
    Inventors: Jen-Jiann Chiou, Shin-Yi Tsai
  • Patent number: 6500681
    Abstract: Disclosed herein is a method comprised of forming a metal layer above a structure layer on a workpiece, measuring a thickness of the metal layer, determining, based upon the measured thickness of the metal layer, at least one parameter of an etching process to be performed on the metal layer, and performing the etching process comprised of the determined parameter on the metal layer. Also disclosed is a system comprised of a deposition tool for forming a metal layer above a structure layer on a workpiece, a metrology tool for measuring a thickness of the metal layer, a controller for determining, based upon the measured thickness of the metal layer, at least one parameter of an etch process to be performed on the metal layer, and an etch tool adapted to perform an etch process comprised of the determined parameter on the metal layer.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: December 31, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Craig William Christian, H. Jim Fulford
  • Patent number: 6498109
    Abstract: A process for plasma etching metal films comprising the steps of forming a noble gas plasma, then transporting the noble gas plasma to a mixing chamber. An organohalide is added to the noble gas plasma in the mixing chamber. The organohalide is selected to have a vapor pressure allowing the formation of activated complexes to etch the metal films and form organometallic compounds as the etch byproducts. The activated complexes thus formed are transported downstream to an etching chamber. In the etching chamber the selected substrate is exposed to the activated complexes, causing the substrate to be etched and organometallic compounds to be formed as byproducts from the reaction of the activated complexes and etching of the substrate. The organometallic byproducts can then be removed from the etch chamber.
    Type: Grant
    Filed: March 1, 2001
    Date of Patent: December 24, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Publication number: 20020190025
    Abstract: The invention encompasses methods for etching and/or over-etching tungsten stack structures, especially tungsten-polysilicon stack structures. The etching methods of the invention preferably employ a Cl2/NF3 etchant, optionally including O2 and/or helium. The over-etching methods of the invention preferably use a NF3/N2/O2 etchant. The methods of the invention enable effective etching of tungsten-polysilicon stacks where topographic variation is present across the substrate and/or where other tungsten stacks of different structure are also being etched.
    Type: Application
    Filed: June 15, 2001
    Publication date: December 19, 2002
    Applicant: International Business Machines Corporation
    Inventor: Munir D. Naeem
  • Patent number: 6495053
    Abstract: A multi-layer electronic circuit board design 10 having selectively formed apertures or cavities 26, and which includes grooves or troughs 20, 22 which are effective to selectively entrap liquefied adhesive material, thereby substantially preventing the adhesive material from entering the apertures 26.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: December 17, 2002
    Assignee: Visteon Global Tech, Inc.
    Inventors: Lawrence Leroy Kneisel, Mohan R. Paruchuri, Vivek Amir Jalrazbhoy, Vladimir Stoica
  • Patent number: 6495054
    Abstract: Presented is an etching method capable of easily etching an oxide containing an alkaline-earth metal. One method is to etch the oxide by using an etching gas containing a halogen gas except for fluorine, an interhalogen compound consisting of only a halogen element except for fluorine, or a halogen hydride consisting of a halogen element except for fluorine and hydrogen. Particularly chlorides, bromides, and iodides of alkaline-earth metals have relatively high vapor pressures, so a thin film containing an alkaline-earth metal can be etched by using chlorine gas, bromine gas, or iodine gas. When a halogen gas containing fluorine is used, damages to SiO2 portions used in a film formation apparatus are prevented by coating these SiO2 portions with a fluoride of an alkaline-earth metal.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: December 17, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuhiro Eguchi, Katsuya Okumura, Masahiro Kiyotoshi, Katsuhiko Hieda, Soichi Yamazaki
  • Publication number: 20020185466
    Abstract: A method has been provided for etching adjoining layers of indium tin oxide (ITO) and silicon in a single, continuous dry etching process. A conventional dry etching gas, such as H1, is used to etch ITO using RF or plasma energy. When the silicon layer underlying the ITO layer is reached, oxygen or nitrogen is added to etching gas to improve the selectivity of ITO to silicon. In some aspects of the invention an etch-stop layer is formed in the silicon layer. A specific example of fabricating a bottom gate thin film transistor (TFT) is also provided where adjoining layers of source metal, ITO, and channel silicon are etched in the same dry etch step.
    Type: Application
    Filed: June 12, 2001
    Publication date: December 12, 2002
    Inventors: Gaku Furuta, Apostolos Voutsas
  • Patent number: 6489247
    Abstract: Copper can be pattern etched in a manner which provides the desired feature dimension and integrity, at acceptable rates, and with selectivity over adjacent materials. To provide for feature integrity, the portion of the copper feature surface which has been etched to the desired dimensions and shape must be protected during the etching of adjacent feature surfaces. To avoid the trapping of reactive species interior of the etched copper surface, hydrogen is applied to that surface. Hydrogen is adsorbed on the copper exterior surface and may be absorbed into the exterior surface of the copper, so that it is available to react with species which would otherwise penetrate that exterior surface and react with the copper interior to that surface. Sufficient hydrogen must be applied to the exterior surface of the etched portion of the copper feature to prevent incident reactive species present due to etching of adjacent feature surfaces from penetrating the previously etched feature exterior surface.
    Type: Grant
    Filed: September 8, 1999
    Date of Patent: December 3, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Yan Ye, Allen Zhao, Xiancan Deng, Diana Xiaobing Ma
  • Patent number: 6486073
    Abstract: An interconnection pattern made of an aluminum alloy, such as Al—Cu, on a semiconductor IC, is dry etched in an etching gas containing a chlorine component. A photo resist stripping process is carried out at a location down stream of the etching process using a conventional stripping gas, such as CF4+02, at room temperature. Before the resist-stripped substrate is exposed to open air, the substrate is heated in a vacuum to a temperature above 100° C., to remove residual chlorine components.
    Type: Grant
    Filed: August 16, 2000
    Date of Patent: November 26, 2002
    Assignee: Fujitsu Limited
    Inventor: Moritaka Nakamura
  • Patent number: 6482747
    Abstract: Plasma treatment apparatus and method in which an influence on the treatment characteristics of reaction products in plasma treatment such as etching is offset, thereby enabling uniform treatment characteristics to be obtained in the plane of a substrate are provided. In a plasma treatment method of treating a substrate to be processed by using a gas plasma via a mask in a treatment chamber, plasma treatment is performed while optimizing an amount of deposition of a side wall protection layer, equalizing the optimized deposition amount in the center of the substrate and that in a peripheral part, and maintaining the uniformity in the plane of the side wall protection layer.
    Type: Grant
    Filed: December 22, 1998
    Date of Patent: November 19, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Kazue Takahashi, Saburo Kanai, Yoshiaki Satou, Takazumi Ishizu
  • Patent number: 6479396
    Abstract: In a process of preparing a via in a semiconductor substrate wafer in which vias are landed on tungsten, and in which resist is stripped using plasma or chemical based processes that do not remove the veils formed during the etch, the improvement of concurrently removing veil material, controlling the interface of the tungsten, and stripping the resist, comprising: a) depositing and patterning tungsten on a substrate; b) depositing an oxide as an interlevel dielectric on the tungsten; c) patterning the oxide using photolithography and a photoresist; d) etching the oxide using a plasma generated etching method in which veils made up of metals, carbon based materials and oxide based materials are formed on the tungsten and sidewalls of the vias; and e) stripping the resist using a dry polymer removal method employing process gases and reducing gases to concurrently cause resist stripping, removal of the veils, and control of the tungsten interface.
    Type: Grant
    Filed: February 28, 2001
    Date of Patent: November 12, 2002
    Assignee: Infineon Technologies Richmond, LP
    Inventors: Han Xu, Amy Ying Shen, Phillip Gerard Clark, Jr.
  • Patent number: 6475400
    Abstract: A method for controlling the sheet resistance of thin film resistors. The sheet resistance can be inexpensively controlled within a tight tolerance by determining a desired final value for the sheet resistance of thin film resistor material to be deposited on a substrate, depositing the resistor material on the substrate using a deposition process which is consistent enough to achieve a target sheet resistance within a first specified tolerance, the resistor material being deposited to achieve a target sheet resistance which is equal to the desired final value minus the first specified tolerance, and removing a small amount of material from the surface of the deposited thin film resistor material by etching or ion bombardment to raise the sheet resistance to the desired final value within a second specified tolerance characteristic of the removing process where the second specified tolerance is less than the first specified tolerance.
    Type: Grant
    Filed: February 26, 2001
    Date of Patent: November 5, 2002
    Assignee: TRW Inc.
    Inventor: Michael D. Lammert
  • Patent number: 6461976
    Abstract: A method to anisotropically etch an oxide/silicide/poly sandwich structure on a silicon wafer substrate in situ, that is, using a single parallel plate plasma reactor chamber and a single inert cathode, with a variable gap between cathode and anode. This method has an oxide etch step and a silicide/poly etch step. The fully etched sandwich structure has a vertical profile at or near 90° from horizontal, with no bowing or notching.
    Type: Grant
    Filed: May 16, 2000
    Date of Patent: October 8, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Rod C. Langley
  • Patent number: 6455412
    Abstract: A contact opening through an insulating layer is filled with metal and etched back to form a metal plug within the opening. A metal interconnect line can then be formed over the contact, and makes electrical contact with the metal plug. Since the contact opening is filled by the metal plug, it is not necessary for the metal signal line to have a widened portion in order to ensure enclosure.
    Type: Grant
    Filed: August 6, 1991
    Date of Patent: September 24, 2002
    Assignee: STMicroelectronics, Inc.
    Inventors: Fu-Tai Liou, Charles Ralph Spinner
  • Patent number: 6444572
    Abstract: The invention provides methods for forming contact openings to a substrate location with which electrical connection is desired. According to one aspect, a multi-level layer comprising masking material or photoresist is formed atop an electrically conductive substrate surface and defines a mask opening through which a contact opening is to be formed to an elevationally lower substrate location. A single layer of photoresist is patterned to form an elevationally thicker first layer immediately laterally adjacent the mask opening than a second layer which is formed laterally outward of the first layer. The electrically conductive substrate surface is etched through the mask opening to form the contact opening. The photoresist second layer is removed and the conductive substrate surface is etched to form a portion of an outer conductive component. Thereafter, conductive material is formed in the contact opening to electrically connect elevationally separated layers.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: September 3, 2002
    Assignee: Micron Technology Inc.
    Inventors: Zhiqiang Wu, Alan R. Reinberg, Manny Ma
  • Patent number: 6440870
    Abstract: The present invention relates to a method of etching tungsten or tungsten nitride in semiconductor structures, and particularly to the etching of gate electrodes which require precise control over the etching process. We have discovered a method of etching tungsten or tungsten nitride which permits precise etch profile control while providing excellent selectivity, of at least 175:1, for example, in favor of etching tungsten or tungsten nitride rather than an adjacent oxide layer. Typically, the oxide is selected from silicon oxide, silicon oxynitride, tantalum pentoxide, zirconium oxide, and combinations thereof. The method appears to be applicable to tungsten or tungsten nitride, whether deposited by physical vapor deposition (PVD) or chemical vapor deposition (CVD).
    Type: Grant
    Filed: January 5, 2001
    Date of Patent: August 27, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Padmapani Nallan, Hakeem Oluseyi
  • Patent number: 6423644
    Abstract: The present invention relates to a method of etching tungsten or tungsten nitride in semiconductor structures, and particularly to the etching of gate electrodes which require precise control over the etching process. We have discovered a method of etching tungsten or tungsten nitride which permits precise etch profile control while providing excellent selectivity, of at least 175:1, for example, in favor of etching tungsten or tungsten nitride rather than an adjacent oxide layer. Typically the oxide is selected from silicon oxide, silicon oxynitride, tantalum oxide, zirconium oxide, and combinations thereof. The method appears to be applicable to tungsten or tungsten nitride, whether deposited by physical vapor deposition (PVD) of chemical vapor deposition (CVD).
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: July 23, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Padmapani Nallan, Hakeem Oluseyi
  • Patent number: 6420267
    Abstract: A method of forming an integrated barrier/contact for stacked capacitors is provided which results in reduced cost of ownership and in a barrier which is nominally several times thicker than convention structures. The resulting structure results in decreased contact plug resistance as compared with conventional devices.
    Type: Grant
    Filed: April 18, 2000
    Date of Patent: July 16, 2002
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Chenting Lin, Ronald J. Schutz, Andreas Knorr, Keith Wong, Hua Shen, Jenny Lian
  • Patent number: 6413872
    Abstract: A technique is provided for laying out vias between metal layers in an integrated circuit structure utilizing conventional Metal n and Metal N+1 databases. A first database (Metal n) is created that defines a lower conductive layer. A second database (Metal N+1) is created that defines an upper conductive layer. Selected intersections of the first database and the second database are then determined, thereby creating a third database (via n) that defines a pattern of vias between the lower conductive layer and the upper conductive layer. This allows interconnect vias to be optimized in size and shape, thus providing lowest possible interlayer resistance, which in turn provides the best possible circuit performance and reliability.
    Type: Grant
    Filed: August 17, 2000
    Date of Patent: July 2, 2002
    Assignee: National Semiconductor Corporation
    Inventor: Vassili Kitch
  • Publication number: 20020081861
    Abstract: Silicon-germanium-based compositions comprising silicon, germanium, and carbon (i.e., Si—Ge—C), methods for growing Si—Ge—C epitaxial layer(s) on a substrate, etchants especially suitable for Si—Ge—C etch-stops, and novel methods of use for Si—Ge—C compositions are provided. In particular, the invention relates to Si—Ge—C compositions, especially for use as etch-stops and related processes and etchants useful for microelectronic and nanotechnology fabrication.
    Type: Application
    Filed: November 13, 2001
    Publication date: June 27, 2002
    Inventors: McDonald Robinson, Richard C. Westhoff, Charles E. Hunt, Li Ling, Ziv Atzmon
  • Patent number: 6407001
    Abstract: A method including introducing a focus ion beam and an interactive species to a metal material on a substrate within a processing chamber and etching the metal material.
    Type: Grant
    Filed: June 30, 2000
    Date of Patent: June 18, 2002
    Assignee: Intel Corporation
    Inventor: Dane L. Scott
  • Patent number: 6399505
    Abstract: A system and method for reducing contamination in a semiconductor device formed on a substrate is disclosed. The method and system include providing a barrier metal layer on the substrate. A first portion of the barrier metal layer is thinner than a second portion of the barrier metal layer. The method and system further include removing the first portion of the barrier metal layer.
    Type: Grant
    Filed: October 20, 1997
    Date of Patent: June 4, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Takeshi Nogami
  • Patent number: 6391790
    Abstract: A process is provided for etching a silicon based material in a substrate, such as a photomask, to form features with straight sidewalls, flat bottoms, and high profile angles between the sidewalls and bottom, and minimizing the formation of polymer deposits on the substrate. In the etching process, the substrate is positioned in a processing chamber, a processing gas comprising a fluorocarbon, which advantageously is a hydrogen free fluorocarbon, is introduced into the processing chamber, wherein the substrate is maintained at a reduced temperature, and the processing gas is excited into a plasma state at a reduced power level to etch the silicon based material of the substrate. The processing gas may further comprise an inert gas, such as argon.
    Type: Grant
    Filed: July 25, 2000
    Date of Patent: May 21, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Brigitte C. Stoehr, Michael D. Welch
  • Patent number: 6383935
    Abstract: Chemical mechanical polishing (CMP) is known to cause dishing when the surface being planarized includes a wide trench partially filled with metal. This problem has been overcome by first filling the trench with a material whose polishing rate under CMP is similar to that of the metal in the trench. Spin-coating is used for this so that only the trench gets filled. After CMP, any residue of this material is removed, leaving behind a surface that has been planarized to the intended extent without the introduction of significant dishing and with minimum erosion of the metal.
    Type: Grant
    Filed: October 16, 2000
    Date of Patent: May 7, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Cheng Chung Lin, Chen Hua Yu, Tsu Shih, Weng Chang
  • Patent number: 6383942
    Abstract: A dry etching method is disclosed for use in patterning a stacked film of a metal film containing aluminum as the base component and a thin film including at least one of titanium and titanium nitride. In this method, the thin film is dry-etched using a first etching gas (a mixture of CF4 gas, Ar gas and Cl gas) having a gas composition for preventing the metal film from being processed. The metal film is then dry-etched using a second etching gas (a mixture of Cl gas and BCl3 gas) having a gas composition other than the first etching gas.
    Type: Grant
    Filed: March 9, 2000
    Date of Patent: May 7, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaki Narita, Hiroshi Sugiura
  • Patent number: 6375857
    Abstract: A new method is provided for the creation of a fuse. A layer of metal is first deposited, the layer of metal is patterned and etched creating a metal strip that is interrupted by a gap. The fusing function is created in the gap, the interrupted metal strip serves as the connectors to the fuse. A layer of conducting conjugated polymer is deposited over the metal strip and the therein created gap, the polymer is etched back leaving the deposited polymer in the gap between the two metal strips.
    Type: Grant
    Filed: April 3, 2000
    Date of Patent: April 23, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Chit Hwei Ng, Xu Yi, Sanford Chu
  • Patent number: 6372620
    Abstract: By adopting an electrolytic plating method in forming the bump, the drawbacks of the conventional electrolytic plating method should be avoided. For example, the necessity of adopting a lead wiring for each wiring or the like should be eliminated. On the surface of a metal base, a resist film (first resist film) having a negative pattern for forming a wiring film and a resist film (second resist film) having a negative pattern for forming the bump or the pad is formed. By using these films as masks, electrolyic plating of a bump material film is conducted to form the bump. Subsequently, after only the second film is removed. By using the first resist film as a mask, electrolytic plating is then conducted to form a wiring film.
    Type: Grant
    Filed: February 19, 1999
    Date of Patent: April 16, 2002
    Assignees: Sony Corporation, North Corporation
    Inventors: Kenji Oosawa, Tomoo Iijima, Hidetoshi Kusano
  • Patent number: 6372654
    Abstract: There is provided a method of fabricating a semiconductor device, including the steps of (a) generating plasma in the following conditions: (a1) an RF bias voltage has a frequency equal to or greater than 1 MHz, (a2) an RF source voltage has a frequency equal to or greater than 1 MHz, (a3) the RF source voltage is modulated by pulses in a cycle equal to or greater than 100 &mgr;sec, and (a4) pulse-on time is equal to or greater than 50 &mgr;sec, and (b) patterning multi-layered metal wirings by etching through the plasma The method makes it possible to reduce charging damage to a gate insulating film, even if wirings are further spaced away from adjacent ones and/or an antenna ratio of multi-layered metal wirings is further increased.
    Type: Grant
    Filed: April 5, 2000
    Date of Patent: April 16, 2002
    Assignee: NEC Corporation
    Inventor: Ken Tokashiki
  • Patent number: 6368977
    Abstract: There is provided a semiconductor device manufacturing method that comprises a first step of loading a processed substrate in a reaction chamber, a second step of introducing a reaction gas into the reaction chamber at a predetermined flow rate, a third step of maintaining an interior of the reaction chamber at a predetermined pressure, a fourth step of starting generation of plasma by supplying a high frequency power to an electrode arranged in the reaction chamber, a fifth step of applying a predetermined process to the processed substrate, and a sixth step of stopping generation of the plasma by stopping supply of the high frequency power after the predetermined process is completed, wherein the reaction gas is introduced continuously when the generation of the plasma is stopped.
    Type: Grant
    Filed: June 29, 2000
    Date of Patent: April 9, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaki Narita, Yukimasa Yoshida, Katsuaki Aoki, Hiroshi Fujita, Takashi O, Toshimitsu Omine, Isao Matsui, Osamu Yamazaki, Naruhiko Kaji
  • Patent number: 6368518
    Abstract: A method for removing an iridium- and/or rhodium-containing material from a substrate, such as a semiconductor-based substrate, is provided. The method includes providing a substrate having an exposed iridium- and/or rhodium-containing material and exposing the substrate to a composition that includes at least one halogen-containing gas, whereby at least a portion of the exposed iridium- and/or rhodium-containing material is removed from the substrate.
    Type: Grant
    Filed: August 25, 1999
    Date of Patent: April 9, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Patent number: 6368517
    Abstract: Method for removing or inactivating corrosion-forming etch residues remaining on the surface of a dielectric material after etching a metal layer which is supported by the dielectric material. The surface of the dielectric material which supports the corrosion-forming etch residues is post-etch treated in order to remove the corrosion-forming etch residues. Post-etch treating of the surface of the dielectric material includes disposing the dielectric material in a vacuum chamber having microwave downstream treating gas plasma, or contacting the surface of the dielectric material with deionized water.
    Type: Grant
    Filed: February 17, 1999
    Date of Patent: April 9, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Jeng H. Hwang, Kang-Lie Chiang, Guangxiang Jin
  • Patent number: 6358429
    Abstract: A method for manufacturing an electronic device includes the steps of: forming a base film comprising a material capable of reactive-ion etching with a fluorine-based gas on a substrate; forming a thin film comprising a material capable of reactive-ion etching with a chlorine-based gas on the base film; etching the thin film by a reactive ion etching with a gas containing the chlorine-based gas; and etching the base film exposed by the etched thin film by a reactive ion etching with a gas containing the fluorine-based gas.
    Type: Grant
    Filed: July 16, 1999
    Date of Patent: March 19, 2002
    Assignee: Murata Manufacturing Co., Ltd.
    Inventor: Yoshihiro Koshido
  • Patent number: 6355566
    Abstract: A method for removing a surface defect from a dielectric layer during the formation of a semiconductor device comprises the steps of forming a dielectric layer having a hole therein, the dielectric also having a surface defect resulting from a previous manufacturing step such as chemical mechanical polish, contact with another surface during production, or from a manufacturing defect. A blanket conductive layer is then formed within the hole, within the surface defect, and over the dielectric layer. The conductive layer is etched from the surface of the dielectric using an etch which removes the conductive layer at a substantially faster rate than it removes the dielectric. This etch is stopped when the level of conductive material in the plug is flush with the upper surface of the dielectric. Next, the conductive and dielectric layers are etched using a dry or plasma etch which removes the conductive and dielectric layers at about the same rate.
    Type: Grant
    Filed: May 8, 2001
    Date of Patent: March 12, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Bradley J. Howard, Mark E. Jost, Guy Blalock
  • Patent number: 6350699
    Abstract: A method of anisotropically etching metals, especially iridium, platinum, ruthenium, osmium, and rhenium using a non-chlorofluorocarbon, fluorine-based chemistry. A substrate having metal deposited thereon, is inserted into an ECR plasma etch chamber and heated. A fluorine containing gas, such as, carbon tetrafluoride (CF4), nitrogen trifluoride (NF3) or sulfur hexafluoride (SF6) is introduced into the chamber and ionized to form a plasma. Fluorine ions within the plasma strike, or contact, the metal to form volatile metal-fluorine compounds. The metal-fluorine compounds are exhausted away from the substrate to reduce, or eliminate, redeposition of etch reactants.
    Type: Grant
    Filed: May 30, 2000
    Date of Patent: February 26, 2002
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Jer-shen Maa, Fengyan Zhang
  • Patent number: 6348416
    Abstract: In order to improve adhesion between a plated film which functions as an external connection terminal of a semiconductor device and a surface of a resin protuberance and to improve reliability, a carrier substrate includes a metal substrate 12 which is shaped into a sheet form, to which a semiconductor chip is fixed, and which is removed before the semiconductor device is completed, a recess 16 formed at a position of the metal substrate 12 corresponding to the resin protuberance and having a rugged bottom surface 16a and/or a rugged side surface, and a plated film 14 formed on the inner surface of the recess 16.
    Type: Grant
    Filed: December 6, 1999
    Date of Patent: February 19, 2002
    Assignees: Shinko Electric Industries Co., Ltd, Fujitsu Limited
    Inventors: Hideki Toya, Mitsuyoshi Imai, Masaki Sakaguchi, Naoki Yamabe, Mamoru Suwa, Toshiyuki Motooka, Hideharu Sakoda, Muneharu Morioka
  • Publication number: 20020011760
    Abstract: A method for manufacturing an electronic device includes the steps of: forming a base film comprising a material capable of reactive-ion etching with a fluorine-based gas on a substrate; forming a thin film comprising a material capable of reactive-ion etching with a chlorine-based gas on the base film; etching the thin film by a reactive ion etching with a gas containing the chlorine-based gas; and etching the base film exposed by the etched thin film by a reactive ion etching with a gas containing the fluorine-based gas.
    Type: Application
    Filed: February 8, 2001
    Publication date: January 31, 2002
    Inventor: Yoshihiro Koshido
  • Patent number: 6337286
    Abstract: A process for plasma etching metal films comprising the steps of forming a noble gas plasma, then transporting the noble gas plasma to a mixing chamber. An organohalide is added to the noble gas plasma in the mixing chamber. The organohalide is selected to have a vapor pressure allowing the formation of activated complexes to etch the metal films and form organometallic compounds as the etch byproducts. The activated complexes thus formed are transported downstream to an etching chamber. In the etching chamber the selected substrate is exposed to the activated complexes, causing the substrate to be etched and organometallic compounds to be formed as byproducts from the reaction of the activated complexes and etching of the substrate. The organometallic byproducts can then be removed from the etch chamber.
    Type: Grant
    Filed: February 26, 1999
    Date of Patent: January 8, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Patent number: 6319729
    Abstract: A method of manufacturing an angular-rate sensor by fabricating components of the angular-rate sensor on a silicon substrate, the components of the angular rate sensor including one or more masses, a support beam and buried conductors. Detection means are provided and the components are sealed in a cavity between a first glass plate and a second glass plate by anodic bonding. This enables angular rate sensors to be fabricated using low cost silicon wafers.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: November 20, 2001
    Assignee: Sensonor Asa
    Inventors: Terje Kvisteroey, Henrik Jakobsen
  • Publication number: 20010041453
    Abstract: An aluminum-copper alloy layer is patterned through a photo-lithography followed by a dry etching, and side walls of etching residue containing aluminum chloride, which is causative of after-corrosion in the aluminum-copper alloy line, is grown during the dry etching, wherein the side walls are exposed to gaseous mixture containing ionic water vapor so that hydrogen ion and/or the hydroxyl group reacts with the aluminum chloride, thereby converting the aluminum chloride to aluminum and/or aluminum hydroxide and hydrochloric acid vaporized into vacuum.
    Type: Application
    Filed: July 6, 1999
    Publication date: November 15, 2001
    Inventor: MASAHIKO OHUCHI
  • Patent number: 6309918
    Abstract: A manufacturable GaAs VFET process includes providing a doped GaAs substrate with a lightly doped first epitaxial layer thereon and a heavily doped second epitaxial layer positioned on the first epitaxial layer. A temperature tolerant conductive layer is positioned on the second epitaxial layer and patterned to define a plurality of elongated, spaced apart source areas. Using the patterned conductive layer, a plurality of gate trenches are etched into the first epitaxial layer adjacent the source areas. The bottoms of the gate trenches are implanted and activated to form gate areas. A gate contact is deposited in communication with the implanted gate areas, a source contact is deposited in communication with the patterned conductive layer overlying the source areas, and a drain contact is deposited on the rear surface of the substrate.
    Type: Grant
    Filed: September 21, 1998
    Date of Patent: October 30, 2001
    Assignee: Motorola, Inc.
    Inventors: Jenn-Hwa Huang, Benjamin W. Gable, Kurt Eisenbeiser, David Rhine
  • Patent number: 6306732
    Abstract: An apparatus for improving electromigration reliability and resistance of a single- or dual-damascene via includes an imperfect barrier formed at the bottom of the via, and a stronger barrier formed at all other portions of the via. The imperfect barrier allows for metal atoms, such as copper atoms, to flow therethrough when the electromigration force pushes the metal atoms against the barrier. That way, the metal atoms that are pushed away from the downstream side of the barrier are replaced by metal atoms that flow through the barrier from the upstream side of the barrier. The imperfect barrier may be formed by biasing a wafer, and having the atoms resputter from the bottom of the via and adhere to the sidewalls of the via. The imperfect barrier may also be formed by a two-layered barrier, where a first layer corresponds to a good step coverage, poor barrier, and where the second barrier corresponds to a poor step coverage, good barrier.
    Type: Grant
    Filed: October 9, 1998
    Date of Patent: October 23, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Dirk D. Brown
  • Patent number: 6306771
    Abstract: The prevention of the formation of undesired defects formed during the etching of etched metal interconnect lines on an integrated circuit during an integrated circuit manufacturing process that involves laying down on a semiconductor wafer a thin film such as an anti-reflective coating (ARC) on a layer of metal to be patterned into the metal interconnects of the individual integrated circuits. To do this the anti-reflective coating layer is covered with an oxide layer prior to applying and patterning subsequent photoresist. The specific metalization layer disclosed can be of aluminum, copper or copper-aluminum alloy. The ARC as disclosed is a nitride layer, such as titanium nitride. The oxide may be formed on the ARC in a number of known ways and may be etched subsequently alone or in combination with the underlying ARC and metal after subsequent photoresist deposit upon the oxide layer.
    Type: Grant
    Filed: August 27, 1999
    Date of Patent: October 23, 2001
    Assignee: Integrated Device Technology, Inc.
    Inventors: Tsengyou Syau, James R. Shih, Shih-Ked Lee, Timothy P. Kay
  • Publication number: 20010027027
    Abstract: A method for manufacturing a phase shift mask includes the steps of forming a shift layer, a metal layer and a photoresist layer on a substrate subsequently, patterning the photoresist layer into a predetermined configuration, thereby obtaining a first, a second, a third and a fourth photoresist patterns, forming a chemical swelling process (CSP) chemical layer on the photoresist patterns and an exposed portion of the substrate, patterning the CSP chemical layer using masks over the first and the fourth photoresist patterns, whereby the CSP chemical layer on the first and the fourth photoresist patterns remains thereon, patterning an exposed portion of the metal layer into the predetermined configuration using the second and the third photoresist patterns as masks, patterning the exposed portions of the shift layer into the predetermined configuration, and removing the first and fourth photoresist patterns.
    Type: Application
    Filed: December 21, 2000
    Publication date: October 4, 2001
    Inventors: Sang-Tae Choi, Hwan-Soo Jang
  • Patent number: 6287975
    Abstract: A method for containing the critical dimension growth of the feature on a semiconductor substrate includes placing a substrate with a hard mask comprised of a reactive metal or an oxidized reactive metal in a chamber and etching the wafer. The method further includes using a hard mask which has a low sputter yield and a low reactivity to the etch chemistry of the process.
    Type: Grant
    Filed: January 20, 1998
    Date of Patent: September 11, 2001
    Assignee: Tegal Corporation
    Inventors: Stephen P. DeOrnellas, Leslie G. Jerde, Alferd Cofer
  • Patent number: 6281114
    Abstract: A process is provided for planarization of an insulation layer, e.g., of silicon dioxide, on a semiconductor wafer, e.g., of silicon, and having a surface with a downwardly stepped chemically mechanically polished arrangement of metal lines in the insulation layer between intervening insulation portions. A first pattern portion of metal lines is separated by intervening insulation portions and defines a first pattern factor having a first value, and an adjacent second pattern portion of metal lines is separated by intervening insulation portions and defines a second pattern factor having a second value different from the first value. The second pattern portion is at a step depth relative to the insulation layer surface different from that of the first pattern portion relative to such layer surface.
    Type: Grant
    Filed: February 7, 2000
    Date of Patent: August 28, 2001
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Chenting Lin, Larry Clevenger, Ranier Florian Schnabel
  • Patent number: 6277763
    Abstract: A method and apparatus for etching of a substrate comprising both a polysilicon layer and an overlying tungsten layer. The method comprises etching the tungsten layer in a chamber using a plasma formed from a gas mixture comprising a fluorinated gas (such as CF4, NF3, SF6, and the like) and oxygen.
    Type: Grant
    Filed: December 16, 1999
    Date of Patent: August 21, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Katsuhisa Kugimiya, Takanori Nishizawa, Daisuke Tajima
  • Patent number: 6277723
    Abstract: A plasma damage protection cell using floating N/P/N and P/N/P structure, and a method to form the same are disclosed. Floating structures of the protection cell and the floating gates for the MOS devices are formed simultaneously on a semiconductor substrate having shallow trench isolation. The floating structures are implanted separately to form the N/P/N and P/N/P bipolar base, emitter and collector regions while the source/drain of the respective NMOS and PMOS devices are implanted with appropriate sequencing. The floating structures are connected to the substrate with appropriate polarity to provide protection at low leakage current levels and with tunable punch-through voltages.
    Type: Grant
    Filed: October 14, 1999
    Date of Patent: August 21, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jiaw-Ren Shih, Shui-Hung Chen, Jian-Hsing Lee, Chrong Jung Lin
  • Patent number: 6274482
    Abstract: The invention provides methods for forming contact openings to a substrate location with which electrical connection is desired. According to one aspect, a multi-level layer comprising masking material or photoresist is formed atop an electrically conductive substrate surface and defines a mask opening through which a contact opening is to be formed to an elevationally lower substrate location. A single layer of photoresist is patterned to form an elevationally thicker first layer immediately laterally adjacent the mask opening than a second layer which is formed laterally outward of the first layer. The electrically conductive substrate surface is etched through the mask opening to form the contact opening. The photoresist second layer is removed and the conductive substrate surface is etched to form a portion of an outer conductive component. Thereafter, conductive material is formed in the contact opening to electrically connect elevationally separated layers.
    Type: Grant
    Filed: April 1, 1999
    Date of Patent: August 14, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Zhiqiang Wu, Alan R. Reinberg, Manny Ma
  • Publication number: 20010010229
    Abstract: In one embodiment, the present invention relates to a method of processing a semiconductor structure, involving the steps of providing the semiconductor structure having a patterned resist thereon; stripping the patterned resist from the semiconductor structure, wherein an amount of carbon containing resist debris remain on the semiconductor structure; and contacting the semiconductor structure with ozone thereby reducing the amount of carbon containing resist debris thereon.
    Type: Application
    Filed: January 31, 2000
    Publication date: August 2, 2001
    Applicant: R. Subramanian
    Inventors: Ramkumar Subramanian, Khoi A. Phan, Bharath Rangarajan, Bhanwar Singh, Sanjay K. Yedur, Bryan K. Choo
  • Patent number: 6265318
    Abstract: A method of etching an electrode layer (e.g., a platinum electrode layer or an iridium electrode layer) disposed on a substrate to produce a semiconductor device including a plurality of electrodes separated by a distance equal to or less than about 0.3 &mgr;m and having a profile equal to or greater than about 85°. The method comprises heating the substrate to a temperature greater than about 150° C., and etching the electrode layer by employing a high density inductively coupled plasma of an etchant gas comprising oxygen and/or chlorine, argon and a gas selected from the group consisting of BCl3, HBr, HCl and mixtures thereof. A semiconductor device having a substrate and a plurality of electrodes supported by the substrate. The electrodes have a dimension (e.g., a width) which include a value equal to or less than about 0.3 &mgr;m and a profile equal to or greater than about 85°.
    Type: Grant
    Filed: February 17, 1999
    Date of Patent: July 24, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Jeng H. Hwang, Chentsau Ying, Guang Xiang Jin, Steve S. Y. Mak