Combined With The Removal Of Material By Nonchemical Means Patents (Class 438/759)
  • Publication number: 20090104785
    Abstract: A method of patterning a substrate by mechanically locating a first masking film over the substrate; removing one or more first opening portions in first locations in the first masking film to form one or more first masking portions in the first masking film. First materials are deposited over the substrate in the first locations to form first patterned areas before mechanically locating a second masking film over the substrate and first masking portions. One or more second opening portions are removed from second locations, different from the first locations, in both the second masking film and the first masking portions to form one or more second masking portions. Second materials are deposited over the substrate in the second locations to form second patterned areas.
    Type: Application
    Filed: October 22, 2007
    Publication date: April 23, 2009
    Inventor: Ronald S. Cok
  • Publication number: 20090075487
    Abstract: Disclosed is a method of manufacturing a semiconductor device, which includes forming an insulating film above a semiconductor substrate having a recess and stopper film formed above the semiconductor substrate excluding the recess, thereby filling the recess with the insulating film, performing a first polishing by polishing the insulating film by means of a chemical mechanical polishing method using a first polishing liquid containing cerium oxide and first anionic surfactant, thereby obtaining a flattened surface, and performing a second polishing by polishing the flattened insulating film using a second polishing liquid containing cerium oxide and a second anionic surfactant having a smaller molecular weight than that of the first anionic surfactant under a polishing condition which differs from that of the first polishing, thereby exposing the stopper film.
    Type: Application
    Filed: September 11, 2008
    Publication date: March 19, 2009
    Inventors: Shunsuke Doi, Yukiteru Matsui
  • Patent number: 7504342
    Abstract: A method of fabricating a thin film transistor array substrate for a liquid crystal display includes the step of forming a gate line assembly with gate lines, gate electrodes and gate pads. After laying a plurality of layers on the substrate, a photoresist film is deposited onto the layers. The photoresist film is first exposed to light at a first light exposing unit, and secondly exposed to light at a second light exposing unit such that the photoresist film has three portions of different thickness. The photoresist pattern, and some of the underlying layers are etched to form a data line assembly, a semiconductor pattern, and an ohmic contact pattern. The data line assembly includes data lines, source and drain electrodes, and data pads. The remaining photoresist film is removed, and a protective layer is formed on the substrate.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: March 17, 2009
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Woon-Yong Park, Jong-Soo Yoon
  • Publication number: 20090023298
    Abstract: Ultrafine dimensions, smaller than conventional lithographic capabilities, are formed employing an efficient inverse spacer technique comprising selectively removing spacers. Embodiments include forming a first mask pattern over a target layer, forming a spacer layer on the upper and side surfaces of the first mask pattern leaving intermediate spaces, depositing a material in the intermediate spacers leaving the spacer layer exposed, selectively removing the spacer layer to form a second mask pattern having openings exposing the target layer, and etching the target layer through the second mask pattern.
    Type: Application
    Filed: July 17, 2007
    Publication date: January 22, 2009
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Yunfei Deng, Ryoung-han Kim, Thomas I. Wallow
  • Publication number: 20090017608
    Abstract: A method for fabricating a semiconductor device is provided which has first and second regions, transistors of different conductivity types being formed on parts of a substrate corresponding to the first and second regions. The method includes the steps of: (a) forming a first insulating film to cover the parts of the substrate corresponding to the first and second regions; (b) forming a first thin film on the first insulating film, the first thin film having a relatively higher etching rate than the first insulating film in plasma etching using a halogen gas; and (c) removing a part of the first thin film corresponding to the first region by the plasma etching using a mask covering the second region and modifying a part of the first insulating film corresponding to the first region.
    Type: Application
    Filed: May 6, 2008
    Publication date: January 15, 2009
    Inventor: Kenji Tateiwa
  • Publication number: 20090017323
    Abstract: Provided is a layered body comprising a substrate to be ground and a support, where the substrate may be ground to a very small (thin) thickness and can then be separated from the support without damaging the substrate. One embodiment is a layered body comprising a substrate to be ground, a joining layer including a curable adhesive in contact with the substrate to be ground, a photothermal conversion layer comprising a light absorbing agent and a heat decomposable resin, and a light transmitting support. After grinding the substrate surface which is opposite that in contact with the joining layer, the layered body is irradiated through the light transmitting layer and the photothermal conversion layer decomposes to separate the substrate and the light transmitting support.
    Type: Application
    Filed: July 13, 2007
    Publication date: January 15, 2009
    Inventors: Richard J. WEBB, Michael A. Kropp
  • Patent number: 7476610
    Abstract: A method for forming semiconductor devices is provided. A gate stack is formed over a surface of a substrate. A plurality of cycles for forming polymer spacers on sides of the gate stack is provided, where each cycle comprises providing a deposition phase that deposits material on the sides of the polymer spacer and over the surface of the substrate, and providing a cleaning phase that removes polymer over the surface of the substrate and shapes a profile of the deposited material. Dopant is implanted into the substrate using the polymer spacers as a dopant mask. The polymer spacers are removed.
    Type: Grant
    Filed: November 10, 2006
    Date of Patent: January 13, 2009
    Assignee: Lam Research Corporation
    Inventors: Ji Soo Kim, Conan Chiang, Daehan Choi, S. M. Reza Sadjadi, Michael Goss
  • Publication number: 20090004878
    Abstract: It is an object of the present invention is to provide a method of manufacturing an SOI substrate provided with a single-crystal semiconductor layer which can be practically used even when a substrate having a low heat-resistant temperature, such as a glass substrate or the like, is used, and further, to manufacture a semiconductor device with high reliability by using such an SOI substrate. A semiconductor layer which is separated from a semiconductor substrate and bonded to a supporting substrate having an insulating surface is irradiated with electromagnetic waves, and the surface of the semiconductor layer is subjected to polishing treatment. At least part of a region of the semiconductor layer is melted by irradiation with electromagnetic waves, and a crystal defect in the semiconductor layer can be reduced. Further, the surface of the semiconductor layer can be polished and planarized by polishing treatment.
    Type: Application
    Filed: June 16, 2008
    Publication date: January 1, 2009
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideto Ohnuma, Ryota Imahayashi, Yoichi Ilkubo, Kenichiro Makino, Sho Nagamatsu
  • Publication number: 20080305635
    Abstract: A method for fabricating a patter is provided as followed. First, a material layer is provided, whereon a patterned hard mask layer is formed. A spacer is deposited on the sidewalls of the patterned hard mask layer. Then, the patterned hard mask layer is removed, and an opening is formed between the adjacent spacers. Afterwards, a portion of the material layer is removed to form a patterned material layer by using the spacer as mask.
    Type: Application
    Filed: June 7, 2007
    Publication date: December 11, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Shih-Chang Tsai, Chun-Hung Lee, Ming-Cheng Deng, Ta-Hung Yang
  • Publication number: 20080299779
    Abstract: Systems and methods for controlling the effective dielectric constant of materials used in a semiconductor device are shown and described. In one embodiment, a method comprises providing a semiconductor substrate with a plurality of pillars formed thereon, depositing a first layer of dielectric material over a plurality of pillars, removing a portion of the first layer deposited over the plurality of pillars, and depositing a second layer of dielectric material over the plurality of pillars, where the second layer leaves a plurality of voids between the plurality of pillars.
    Type: Application
    Filed: May 29, 2007
    Publication date: December 4, 2008
    Inventor: Gregory C. Smith
  • Publication number: 20080280454
    Abstract: A wafer recycling method using laser films stripping is proposed, in which the high energy density of laser is used to instantaneously vaporize and remove multilayer films of different materials on wafers. The process is simple, and it is not necessary to sore wafers in advance, and the selection of chemicals or mechanical polishing materials needs not to be taken into account. Not only can the environmental protection problem be avoided the process cost be lowered, the problem of damage and residual stress to silicon substrates caused by conventional mechanical polishing can also be mitigated.
    Type: Application
    Filed: May 9, 2007
    Publication date: November 13, 2008
    Inventor: Ya-Li Chen
  • Patent number: 7446019
    Abstract: A method for reducing roughness of an exposed surface of an insulator layer on a substrate, by depositing an insulator layer on a substrate wherein the insulator layer includes an exposed rough surface opposite the substrate; treating the first substrate to form a zone of weakness beneath the insulator layer; and smoothing the exposed rough surface of the insulator layer by exposure to a gas plasma in a chamber. The chamber contains therein a gas at a pressure of greater than 0.25 Pa but less than 30 Pa, and the gas plasma is created using a radio frequency generator applying to the insulator layer a power density greater than 0.6 W/cm2 but less than 10 W/cm2 for at least 10 seconds to less than 200 seconds. Substrate bonding and layer transfer may be carried out subsequently to transfer the thin layer of substrate to the insulator layer and to a second substrate.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: November 4, 2008
    Assignee: S.O.I. Tec Silicon on Insulator Technologies
    Inventors: Nicolas Daval, Sebastien Kerdiles, Cécile Aulnette
  • Publication number: 20080261397
    Abstract: There is provided a method for manufacturing a semiconductor device, which includes the steps of: providing a semiconductor substrate including a gate, a source and a drain, wherein the gate includes a gate dielectric layer disposed on the semiconductor substrate; forming an etching barrier layer on the semiconductor substrate; and subjecting the resulted structure to hydrogen annealing. According to the present invention, the interface energy level between a gate dielectric layer and a semiconductor substrate is lowered and the reliability of the semiconductor device is improved.
    Type: Application
    Filed: April 1, 2008
    Publication date: October 23, 2008
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Teyuan Yin, Chipo Liao
  • Patent number: 7435683
    Abstract: Embodiments of an apparatus and methods for fabricating a spacer on one part of a multi-gate transistor without forming a spacer on another part of the multi-gate transistor are generally described herein. Other embodiments may be described and claimed.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: October 14, 2008
    Assignee: Intel Corporation
    Inventors: Jack T. Kavalieros, Uday Shah, Willy Rachmady, Brian S. Doyle
  • Patent number: 7432120
    Abstract: Method for manufacturing a hosting structure of nanometric elements comprising the steps of depositing on an upper surface of a substrate, of a first material, a block-seed having at least one side wall. Depositing on at least one portion of sad surface and on the block-seed a first layer, of predetermined thickness of a second material, and subsequently selectively and anisotropically etching it to form a spacer-seed adjacent to the side wall. The cycle of deposition and selective etching steps of a predetermined material are repeated n times (n?2), with at least one spacer formed in each cycle. This predetermined material is different for each pair of consecutive depositions. The above n steps provides at least one multilayer body. Further selective etching removes every other spacers to provide a plurality of nanometric hosting seats, which forms contact terminals for a plurality of molecular transistors hosted in said hosting seats.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: October 7, 2008
    Assignee: STMicroelectronics S.r.l.
    Inventors: Danilo Mascolo, Gianfranco Cerofolini, Gianguido Rizzotto
  • Publication number: 20080241574
    Abstract: A method for forming a semiconductor device is provided including processing a wafer having a first layer and a second layer, the second layer is over the first layer, forming a vertical post from a sidewall spacer formed from the second layer, forming a filler over the first layer and surrounding the vertical post, and forming a device layer having a hole by removing the vertical post in the filler.
    Type: Application
    Filed: March 26, 2007
    Publication date: October 2, 2008
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventor: Witold P. Maszara
  • Publication number: 20080242106
    Abstract: A CMP apparatus and process reduces material re-deposition due to pH transitions. The CMP process reduces the re-deposition of material by performing a water rinse between CMP stages. A CMP apparatus, which performs CMP process, may reduce re-deposition by including a water rinse between two CMP stages that utilize different pH slurries.
    Type: Application
    Filed: March 29, 2007
    Publication date: October 2, 2008
    Inventor: Anuj Sarveshwar Narain
  • Publication number: 20080227258
    Abstract: Methods of forming a semiconductor device include forming a mask layer on a semiconductor substrate. The mask layer has vertically and horizontally extending portions. The vertically extending portions have a thickness selected to provide a desired line width to an underlying structure to be formed using the mask layer and a height greater than a height of the horizontally extending portions. The underlying structure is formed using the mask layer.
    Type: Application
    Filed: March 7, 2008
    Publication date: September 18, 2008
    Inventors: Sang-Yong Park, Sung-Hyun Kwon, Jae-Hwang Sim, Keon-Soo Kim, Jae-Kwan Park
  • Publication number: 20080214016
    Abstract: Provided is a process for manufacturing a diamond like carbon layer. The process for manufacturing the diamond like carbon layer includes, without limitation, forming a layer of diamond like carbon over a substrate, and reactive ion etching the layer of diamond like carbon.
    Type: Application
    Filed: March 2, 2007
    Publication date: September 4, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Erika Leigh Shoemaker, Maria Wang, Mary Roby, Stuart Jacobsen
  • Publication number: 20080200037
    Abstract: A method of thinning wafer is disclosed. A wafer has an active surface and a back surface is provided. A plurality of protruding components may be disposed on the active surface. The wafer is placed in a mold and a polymeric material is formed in the mold to cover at least the active surface of the wafer. The polymeric material is cured and the mold is removed. The back surface of the wafer is ground to thin the wafer. The polymeric material is removed to expose the active surface of the wafer and the protruding components disposed on the active surface. The polymeric material is allowed to cover the active surface of the wafer and the protruding components through the mold; accordingly, the stress produced during the grinding can be distributed uniformly on the wafer, and the wafer warpage, breakage, or collapse, or the protruding component peeling can be avoided.
    Type: Application
    Filed: December 10, 2007
    Publication date: August 21, 2008
    Inventors: Yu-Pin Tsai, Cheng-I Huang
  • Patent number: 7410901
    Abstract: A method for fabricating substrate material to include trenches and unreleased beams with submicron dimensions includes etching a first oxide layer on the substrate to define a first set of voids in the first oxide layer to expose the substrate. A second oxide layer is accreted to the first oxide layer to narrow the first set of voids to become a second set of voids on the substrate. A polysilicon layer is deposited over the second oxide layer, the first oxide layer and the substrate. A third set of voids is etched into the polysilicon layer. Further etching widens the third set of voids to define a fourth set of voids to expose the first oxide layer and the substrate. The first oxide layer and the substrate is deeply etched to define beams and trenches in the substrate.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: August 12, 2008
    Assignee: Honeywell International, Inc.
    Inventor: Jorg Pilchowski
  • Patent number: 7407893
    Abstract: Methods are provided for depositing amorphous carbon materials. In one aspect, the invention provides a method for processing a substrate including positioning the substrate in a processing chamber, introducing a processing gas into the processing chamber, wherein the processing gas comprises a carrier gas, hydrogen, and one or more precursor compounds, generating a plasma of the processing gas by applying power from a dual-frequency RF source, and depositing an amorphous carbon layer on the substrate.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: August 5, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Martin Jay Seamons, Wendy H. Yeh, Sudha S. R. Rathi, Deenesh Padhi, Andy (Hsin Chiao) Luan, Sum-Yee Betty Tang, Priya Kulkarni, Visweswaren Sivaramakrishnan, Bok Hoen Kim, Hichem M'Saad, Yuxiang May Wang, Michael Chiu Kwan
  • Publication number: 20080179404
    Abstract: A transponder chip module is recessed into the surface of a substrate, end portions of an antenna wire are held in place on terminal areas of the chip module by a patch which may be transparent to allow laser bonding of the wire to the terminal areas. A cover may be disposed over everything. Conductive glue or a solderable material may be used to connect the wire to the terminal areas. A recess for the chip module, and a channel for the antenna wire may be formed by laser ablation. The substrate may be Teslin™, PET/PETE or Polycarbonate. The antenna wire may have a diameter of 60 ?m. A synthetic cushion material may be provided beneath the transponder chip module.
    Type: Application
    Filed: March 10, 2008
    Publication date: July 31, 2008
    Applicant: Advanced Microelectronic and Automation Technology Ltd.
    Inventor: David Finn
  • Publication number: 20080142970
    Abstract: A planarized nanowire structure and a method for planarizing a nanowire structure are presented. The method provides nanowires with tips, formed overlying a substrate. A first insulator layer is deposited partially covering the nanowires. The first insulator layer is coated with a spin-on insulator layer, completely covering the nanowires. In some aspects of the method, the spin-on insulator layer is annealed. The spin-on insulator layer is then polished with a slurry and, in response to the polishing, a planarized insulator surface is formed with exposed nanowire tips.
    Type: Application
    Filed: December 14, 2006
    Publication date: June 19, 2008
    Inventors: David R. Evans, Lisa H. Stecker, Allen Burmaster
  • Patent number: 7387971
    Abstract: A fabricating method for a flat panel display device having a thin film pattern over a substrate is disclosed. The fabricating method includes depositing a hydrophilic resin over a substrate and patterning the hydrophilic resin to form hydrophilic resin patterns over areas outside where thin film patterns are to be formed over the substrate. The fabricating method also includes depositing a hydrophobic nano powder thin film material over the substrate and between the hydrophilic resin patterns and removing the hydrophilic resin patterns to form hydrophobic nano powder thin film patterns over the substrate. Moreover, the fabricating method includes treating the hydrophobic nano powder thin film patterns to form the thin film pattern.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: June 17, 2008
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Gee Sung Chae, Mi Kyung Park
  • Publication number: 20080138999
    Abstract: Large-area ICs (e.g., silicon wafer-based solar cells) are produced by positioning a mask between an extrusion head and the IC wafer during extrusion of a dopant bearing material or metal gridline material. The mask includes first and second peripheral portions that are positioned over corresponding peripheral areas of the wafer, and a central opening that exposes a central active area of the wafer. The extrusion head is then moved relative to the wafer, and the extrusion material is continuously extruded through outlet orifices of the extrusion head to form elongated extruded structures on the active area of the wafer. The mask prevents deposition of the extrusion material along the peripheral edges of the wafer, and facilitates the formation of unbroken extrusion structures. The mask may be provided with a non-rectangular opening to facilitate the formation of non-rectangular (e.g., circular) two-dimensional extrusion patterns.
    Type: Application
    Filed: December 12, 2006
    Publication date: June 12, 2008
    Applicant: Palo Alto Research Center Incorporated
    Inventor: Craig Eldershaw
  • Publication number: 20080138456
    Abstract: Wafer-based solar cells are efficiently produced by extruding a dopant bearing material (dopant ink) onto one or more predetermined surface areas of a semiconductor wafer, and then thermally treating the wafer to cause diffusion of dopant from the dopant ink into the wafer to form corresponding doped regions. A multi-plenum extrusion head is used to simultaneously extrude interdigitated dopant ink structures having two different dopant types (e.g., n-type dopant ink and p-type dopant ink) in a self-registered arrangement on the wafer surface. The extrusion head is fabricated by laminating multiple sheets of micro-machined silicon that define one or more ink flow passages. A non-doping or lightly doped ink is co-extruded with heavy doped ink to serve as a spacer or barrier, and optionally forms a cap that entirely covers the heavy doped ink. A hybrid thermal treatment utilizes a gaseous dopant to simultaneously dope exposed portions of the wafer.
    Type: Application
    Filed: December 12, 2006
    Publication date: June 12, 2008
    Applicant: Palo Alto Research Center Incorporated
    Inventors: David K. Fork, Eric J. Shrader
  • Patent number: 7384816
    Abstract: An apparatus and method for forming vias in one or more layers, comprising one or more beams located in alignment with the layers for forming one or more vias in one or more areas of the layers. A vacuum mechanism is provided for collecting ablated material caused by the directed beams forming the one or more vias, the vacuum mechanism being in fixed alignment with respect to the one or more beams such that the vacuum applies a removal force on the ablated material at the time and location when the one or more vias is being formed.
    Type: Grant
    Filed: March 3, 2005
    Date of Patent: June 10, 2008
    Assignee: Eastman Kodak Company
    Inventors: Ronald S. Cok, Michael L. Boroson, Timothy J. Tredwell, Andrea S. Rivers, Dustin L. Winters
  • Publication number: 20080128867
    Abstract: A method of forming a micro-pattern in a semiconductor device that is less than approximately 130 nm using the KrF exposure equipment. A method of forming a micro-pattern in a semiconductor device includes at least one of the following steps: Forming an etching layer, a hard mask layer, an organic bottom anti-reflection (BARC) layer, and/or a photoresist film on and/or over a semiconductor substrate. Forming a photoresist pattern by exposing and developing the photoresist film. Forming a BARC layer pattern using the photoresist pattern as a mask. Forming a hard mask layer pattern using the BARC layer pattern as an etch mask. Forming an etching layer pattern by using the hard mask layer pattern as an etch mask.
    Type: Application
    Filed: July 24, 2007
    Publication date: June 5, 2008
    Inventor: Sang-Uk Lee
  • Publication number: 20080132081
    Abstract: A method of forming a thin III-V semiconductor film on a semiconductor substrate, where the lattice structure of the III-V film is different than the lattice structure of the substrate. The method includes epitaxially growing the III-V film on the substrate until the III-V film is greater than 3.0 ?m thick and then removing a portion of the III-V film until it is less than 3.0 ?m thick. In one implementation, the III-V film is grown until it is around 8.0 ?m to 10.0 ?m thick, and then it is etched or polished until its thickness is reduced to 0.1 ?m to 3.0 ?m thick. By over-growing the III-V film, effects such as dislocation gliding and annihilation reduce the dislocation density of the film, thereby improving its electric mobility.
    Type: Application
    Filed: December 4, 2006
    Publication date: June 5, 2008
    Inventors: Mohamad A. Shaheen, Mantu K. Hudait, Willy Rachmady, Jack T. Kavalieros, Chris E. Barns
  • Publication number: 20080124856
    Abstract: A method of manufacturing a semiconductor device, in which a stress film having a large stress can be formed with high accuracy over a transistor. The method comprises the steps of: depositing a tensile stress film over the whole surface of a substrate having formed thereon an n-MOSFET; removing by etching the deposited stress film while leaving it on the n-MOSFET; and performing UV irradiation to the remaining stress film. By the UV irradiation, a tensile stress of the stress film is improved. Further, although the stress film is cured by the UV irradiation, occurrence of etching defects caused by the curing is prevented because the UV irradiation is performed after the etching. Thus, speeding-up and high quality of the n-MOSFET can be attained.
    Type: Application
    Filed: December 15, 2006
    Publication date: May 29, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Sergey Pidin, Tamotsu Owada
  • Publication number: 20080096395
    Abstract: Disclosed is a producing method of a semiconductor device comprising: film thinning a silicon oxide film by heating the silicon oxide film formed after a surface of a silicon substrate is etched by chemical liquid, and one of thermal oxidizing by heating the thinned silicon oxide film to oxidize the silicon oxide film by gas including at least oxygen, and plasma oxidizing the thinned silicon oxide film by plasma discharged gas including at least oxygen.
    Type: Application
    Filed: July 27, 2005
    Publication date: April 24, 2008
    Inventors: Tadashi Terasaki, Unryu Ogawa, Masanori Nakayama
  • Publication number: 20080076265
    Abstract: The present invention relates to a process for thinning a semiconductor wafer. Two surfaces of the wafer separately form a surface-bond glue (layer) and a surface protective glue (layer). The thinning process is applied to the wafer before forming the surface protective glue. Once the baking and drying process is applied to the surface-bond glue and the surface protective glue it then cuts the wafer. Finally, it dissolves the lower solubility of the surface protective glue to obtain the finished goods. The necessity of the selection of the wafer may serve to maintain quality standards. The wafer thinning process of the present invention is suitable for the extremely thin wafer. Thus, it reduces the production cost.
    Type: Application
    Filed: September 27, 2006
    Publication date: March 27, 2008
    Inventor: Nan-Hsiung Tsai
  • Patent number: 7348261
    Abstract: A chip module having a chip with a flexible multilayer redistribution thin film attached thereto for connection to a substrate. The thin film acts as both a redistribution medium with multiple layers of redistribution metallurgy for chip power and signals and as a compliant medium to relieve stresses caused by thermal expansion mismatch between chip and substrate. Modules comprising chip and thin film may be fabricated at the chip or wafer level. The upper surface of the thin film has an array of pads matching the array of pads on the chip or wafer while the lower surface has pads matching those of the substrate. The multilayer thin film is first formed on a temporary substrate and then the chip is attached to the thin film before release from the temporary substrate. After release, the module is ready for mounting to the second level packaging substrate, such as a chip carrier or PCB. Where the multilayer thin film is formed directly on a wafer, the wafer is then diced to form the module.
    Type: Grant
    Filed: May 15, 2003
    Date of Patent: March 25, 2008
    Assignee: International Business Machines Corporation
    Inventors: David Vincent Caletka, Seungbae Park, Sanjeev Balwant Sathe
  • Patent number: 7341886
    Abstract: A method and apparatus for forming vias in one or more layers, comprising providing a vacuum chamber, one or more beams in the vacuum chamber. The array of directed beams located in alignment with a layer for ablating one or more areas of the layer for forming vias. A cold trap is also provided in the vacuum chamber that is in fixed alignment with respect to the one or more beams such that the ablated material condenses upon the cold trap at the time and location when the one or more vias is being formed.
    Type: Grant
    Filed: March 3, 2005
    Date of Patent: March 11, 2008
    Assignee: Eastman Kodak Company
    Inventors: Ronald S. Cok, Timothy J. Tredwell, Dustin L. Winters, Andrea S. Rivers, Michael L. Boroson
  • Patent number: 7335319
    Abstract: An edge bead remover composition that includes at least one ketone selected from the group consisting of: wherein R1 and R2 are independently selected from the group consisting of: methyl, ethyl, n-propyl, n-butyl, sec-butyl, and isobutyl, and wherein n equals 1 or 2; at least one ester other than lactones; and at least one lactone.
    Type: Grant
    Filed: February 6, 2003
    Date of Patent: February 26, 2008
    Assignee: Arch Specialty Chemicals, Inc.
    Inventors: Laurie J. Peterson, Richard L. Hopla, Ahmad A. Naiini, William D. Weber, Pamela J. Waterson
  • Patent number: 7335605
    Abstract: In a protective tape applying and separating method according to this invention, a protective tape applied by a tape applying mechanism to a surface of a wafer suction-supported by a chuck table is cut to a wafer configuration by a cutter unit. Subsequently, a protective tape having a weaker adhesion than the first protective tape is applied to the protective tape. The protective tapes forming plies are separated one by one, the upper one first, by a tape separating apparatus 15 after a thinning process of the wafer.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: February 26, 2008
    Assignee: Nitto Denko Corporation
    Inventor: Masayuki Yamamoto
  • Publication number: 20080045036
    Abstract: A method of forming a via hole reaching a bonding pad in a wafer having an insulating film constituting a plurality of devices on the front surface of a substrate and bonding pads on each of the devices by applying a pulse laser beam to the rear surface of the substrate, the method comprising the steps of: forming a non-through hole reaching the insulating film formed on the substrate by applying a pulse laser beam to the rear surface of the substrate; forming an insulating film on the inner wall of the hole which is formed in the substrate by the first step; and forming a via hole reaching a bonding pad by applying a pulse laser beam to the hole having the insulating film which is formed on the inner wall by the insulating film forming step.
    Type: Application
    Filed: June 12, 2007
    Publication date: February 21, 2008
    Inventor: Hiroshi Morikazu
  • Publication number: 20080026492
    Abstract: By providing a protective layer in an intermediate manufacturing stage, an increased surface protection with respect to particle contamination and surface corrosion may be achieved. In some illustrative embodiments, the protective layer may be used during an electrical test procedure, in which respective contact portions are contacted through the protective layer, thereby significantly reducing particle contamination during a respective measurement process.
    Type: Application
    Filed: April 3, 2007
    Publication date: January 31, 2008
    Inventors: Ralf Richter, Frank Feustel, Thomas Werner, Kai Frohberg
  • Publication number: 20080014756
    Abstract: Quality of one-surface planar processed group 3 nitride wafers depends upon a direction of pasting of wafers on a polishing plate. Low surface roughness and high yield are obtained by pasting a plurality of group 3 nitride as-grown wafers on a polishing plate with OFs or notches facing forward (f), backward (b) or inward (u) with thermoplastic wax having a thickness of 10 ?m or less, grinding the as-grown wafers, lapping the ground wafers, polishing the lapped wafers into mirror wafers with a bevel of a horizontal width of 200 ?m or less and a vertical depth of 100 ?m or less.
    Type: Application
    Filed: July 11, 2007
    Publication date: January 17, 2008
    Inventors: Keiji Ishibashi, Masato Irikura, Seiji Nakahata
  • Patent number: 7309515
    Abstract: The present invention is related to a method for fabricating an imprint mold which can be used in the field of nano-imprint lithography. Firstly, a diamond film and a photoresist film are successively formed onto a substrate; wherein the photoresist film is more capable of anticorrosion than the diamond film. Then an energy beam lithography system is provided to make the photoresist film form a photoresist mask with particularly arranged patterns. Because of the etching selectivity between the diamond film and the photoresist film, on the surface of the diamond film a pattern can be easily formed with recessions and protrusions according to the photoresist mask by dry etching method.
    Type: Grant
    Filed: February 4, 2004
    Date of Patent: December 18, 2007
    Assignee: Industrial Technology Research Institute
    Inventors: Hung-Yin Tsai, Chih-Hung Wu, Chih-Yung Cheng
  • Publication number: 20070275566
    Abstract: There is provided a method for suppressing the occurrence of defects such as voids or blisters even in the laminated wafer having an oxide film of a thickness thinner than the conventional one, wherein hydrogen ions are implanted into a wafer for active layer having an oxide film of not more than 50 nm in thickness to form a hydrogen ion implanted layer, and ions other than hydrogen are implanted up to a position that a depth from the surface side the hydrogen ion implantation is shallower than the hydrogen ion implanted layer, and the wafer for active layer is laminated onto a wafer for support substrate through the oxide film, and then the wafer for active layer is exfoliated at the hydrogen ion implanted layer.
    Type: Application
    Filed: April 25, 2007
    Publication date: November 29, 2007
    Applicant: SUMCO CORPORATION
    Inventors: Satoshi Murakami, Nobuyuki Morimoto, Hideki Nishihata, Akihiko Endo
  • Patent number: 7273793
    Abstract: The invention includes a method of filling gaps in a semiconductor substrate. A substrate and a gas mixture containing at least one heavy-hydrogen compound are provided within a reaction chamber. The gas mixture is reacted to form a layer of material over the substrate by simultaneous deposition and etch of the layer. The layer of material fills the gap such that the material within the gap is essentially void-free. The invention includes a method of providing improved deposition rate uniformity. A material is deposited over a surface in the presence of at least one gas selected from the group consisting of D2, HD, DT, T2 and TH. The net deposition rate during the deposition has a degree of variance across the surface which is measurably improved relative to a corresponding degree of variance that occurs during deposition utilizing H2 under otherwise substantially identical conditions.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: September 25, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Neal R. Rueger, William Budge, Weimin Li, Gurtej S. Sandhu
  • Publication number: 20070212899
    Abstract: A photosensitive resin composition comprises: a polybenzoxazole precursor (A); a naphthoquinone diazide photosensitizer (B); and a specific phenolic hydroxyl group-containing compound (C).
    Type: Application
    Filed: March 9, 2007
    Publication date: September 13, 2007
    Applicant: FUJIFILM Corporation
    Inventors: Tsukasa Yamanaka, Kenichiro Sato
  • Patent number: 7261920
    Abstract: A process for forming a patterned thin film structure on a substrate is disclosed. A pattern is printed with a material, such as a masking coating or an ink, on the substrate, the pattern being such that, in one embodiment, the desired thin film structures will be formed in the areas where the printed material is not present, i.e., a negative image of thin film structure to be formed is printed. In another embodiment, the pattern is printed with a material that is difficult to strip from the substrate, and the desired thin film structures will be formed in the areas where the printed material is present, i.e., a positive image of the thin film structure is printed. The thin film material is deposited on the patterned substrate, and the undesired area is stripped, leaving behind the patterned thin film structures.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: August 28, 2007
    Assignee: SiPix Imaging, Inc.
    Inventors: Jeanne E. Haubrich, Yi-Shung Chaug, Zarng-Arh George Wu, Rong-Chang Liang
  • Patent number: 7247510
    Abstract: Disclosed is a magnetic memory apparatus which comprises a patterned magnetic recording medium in which multilayered films each having a first magnetic layer, a nonmagnetic metal layer or a nonmagnetic insulating layer and a second magnetic layer deposited discretely on a conductive electrode layer formed on a substrate, and a cantilever array having a plurality of cantilevers each having a conductive chip at its distal end. This provides a magnetic solid memory apparatus that has a large memory capacity and a super fast transfer rate, the merits of a hard disk apparatus, and a nanostructure and low power consumption, which are the merits of a semiconductor memory.
    Type: Grant
    Filed: May 23, 2005
    Date of Patent: July 24, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Kenchi Ito, Jun Hayakawa
  • Patent number: 7247176
    Abstract: An apparatus and a method adapted for shielding and removing fine particles for a portable camera module generated during a portable camera module fabricating process. The portable camera module includes an image sensor and an infrared ray filter. The apparatus includes a tape attaching device for attaching a surface protective tape on a surface of the infrared ray filter so as to prevent fine particles from being attached to the surface of the infrared ray filter, and a tape detaching device movably installed above the surface protective tape in order to detach the surface protective tape having fine particles shielded from the surface of the infrared ray filter. The fine particles are attached to the surface protective tape, which remains shielding the surface of the infrared ray filter during the holder attaching, primary heat treatment, secondary heat treatment, and under filling processes are subsequently carried out.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: July 24, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Ju Lee, Yeong-Seop Lee, Do-Hyung Lee, Sang-Ho Kim
  • Patent number: 7229914
    Abstract: Wiring layers through that come into direct contact with an electrode of a ferroelectric capacitor provide a wiring layer structure configured so that the characteristic of the ferroelectric substance is not degraded by production of a reducing agent. One of coating layers through is provided on the periphery of the Al main wiring layer. A single Ti film or TiN film or a combination of both is used as the coating film. The TiN film suppresses reaction between water and aluminum. The Ti film occludes hydrogen. Therefore, the coating layer provided on the periphery of the Al wiring layer inhibits water or molecular hydrogen from entering the Al wiring layer from the outside and therefore there is no degradation of the characteristics of the ferroelectric capacitor.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: June 12, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Tomomi Yamanobe
  • Patent number: 7229859
    Abstract: Any one of an insulating film forming a TFT, a silicon film and a conductive film is formed by applying a solution and annealing it. In a spin coater (102), a coating solution containing a thin film component which is supplied from a solution storage section (105) is spin-coated onto a substrate. The substrate after coating the coating solution is annealed in an annealing section (103) to form a coating film on the substrate. Additional laser annealing improves one of film characteristics, i.e., crystallinity, density and adhesiveness. Application of the coating solution or a resist by an ink jet process increases utilization of the solution and permits forming a patterned coating film. Because a thin film device in accordance with the present invention is inexpensive and has a high throughput, TFT production by a production system having high utilization of the coating solution drastically reduces initial investment and production cost of a liquid crystal display device.
    Type: Grant
    Filed: January 29, 2003
    Date of Patent: June 12, 2007
    Assignee: Seiko Epson Corporation
    Inventors: Ichio Yudasaka, Tatsuya Shimoda, Sadao Kanbe, Wakao Miyazawa
  • Patent number: RE40139
    Abstract: A wafer having chamfered bent portions in the joint regions between the contour of the wafer and the cut-away portion of the wafer such as an orientation flatness. The chipping of the wafer can be prevented, and in coating the wafer with a photoresist, forming an epiaxially grown layer on the wafer, etc., films having desired characteristics can be provided on the surface of the wafer.
    Type: Grant
    Filed: November 3, 2000
    Date of Patent: March 4, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Hisashi Maejima, Hiroshi Nishizuka, Susumu Komoriya, Etuo Egashira