Organic Reactant Patents (Class 438/790)
  • Patent number: 6511922
    Abstract: Methods and apparatus of the present invention deposit fluorinated silicate glass (FSG) in such a manner that it strongly adheres to an overlying or underlying barrier layer or etch stop layer, and has a lower dielectric constant, among other benefits. In one embodiment, silicon tetrafluoride (SiF4), oxygen (O2), and argon (Ar) are used as the reactant gases, with the ratio of oxygen to silicon controlled to be at between about 2:1 to 6:1. Such O2 levels help reduce the amount of degradation of ceramic chamber components otherwise caused by the elimination of silane from the process recipe.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: January 28, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Padmanabhan Krishnaraj, Robert Duncan, Joseph D'Souza, Alan W. Collins, Nasreen Chopra, Kimberly Branshaw
  • Publication number: 20030017715
    Abstract: A semiconductor device having composite dielectric layer formed between a silicon substrate and a gate electrode. The composite gate dielectric layer including a layer of silicon oxide, SiOx≦2, having a dielectric constant of greater than about 3.9 and about 12 or less, and a complementary dielectric layer for inhibiting the flow of leakage current through the composite dielectric layer.
    Type: Application
    Filed: August 23, 2002
    Publication date: January 23, 2003
    Inventors: David A. Muller, Gregory L. Timp, Glen David Wilk
  • Patent number: 6509279
    Abstract: According to the present invention, there is provided a method for processing a coating film comprising the steps of forming a silica group coating film having a low dielectric constant on a substrate, conducting an etching process to the silica group coating film through a resist pattern, processing the silica group coating film with plasma induced from inactive gas such as helium gas or the like. In processing according to this method, the silica group coating film is not damaged when an ashing process is conducted to the resist pattern as a subsequent process, and the low dielectric constant of the coating film can be maintained.
    Type: Grant
    Filed: July 24, 2001
    Date of Patent: January 21, 2003
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yasushi Fujii, Atsushi Matsushita
  • Publication number: 20030008525
    Abstract: A process for depositing porous silicon oxide-based films using a sol-gel approach utilizing a precursor solution formulation which includes a purified nonionic surfactant and an additive among other components, where the additive is either an ionic additive or an amine additive which forms an ionic ammonium type salt in the acidic precursor solution. Using this precursor solution formulation enables formation of a film having a dielectric constant less than 2.5, appropriate mechanical properties, and minimal levels of alkali metal impurities. In one embodiment, this is achieved by purifying the surfactant and adding ionic or amine additives such as tetraalkylammonium salts and amines to the stock precursor solution.
    Type: Application
    Filed: August 13, 2002
    Publication date: January 9, 2003
    Applicant: APPLIED MATERIALS INC.
    Inventors: Robert P. Mandal, Alexandros T. Demos, Timothy Weidman, Michael P. Nault, Nikolaos Bekiaris, Scott J. Weigel, Lee A. Senecal, James E. Mac Dougall, Hareesh Thridandam
  • Patent number: 6503818
    Abstract: A method for forming a composite dielectric layer comprising a low dielectric constant dielectric layer upon a substrate employed within a microelectronics fabrication. There is provided a patterned microelectronics layer upon a substrate employed within a microelectronics fabrication. There is then formed upon the microelectronics substrate a low dielectric constant dielectric layer. There is then treated the low dielectric constant dielectric layer with a plasma, forming a plasma treated low dielectric constant dielectric layer. There is then formed upon the plasma treated low dielectric constant dielectric layer a silicon containing dielectric layer with enhanced adhesion thereupon.
    Type: Grant
    Filed: April 2, 1999
    Date of Patent: January 7, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Syun-Ming Jang
  • Publication number: 20030003770
    Abstract: A semiconductor substrate is placed within a housing. By supplying organometallic complexes and carbon dioxide in a supercritical state into the housing, a BST thin film is formed on a platinum thin film, while at the same time, carbon compounds, which are produced when the BST thin film is formed, are removed. The solubility of carbon compounds in the supercritical carbon dioxide is very high, and yet the viscosity of the supercritical carbon dioxide is low. Accordingly, the carbon compounds are removable efficiently from the BST thin film. An oxide or nitride film may also be formed by performing oxidation or nitriding at a low temperature using water in a supercritical or subcritical state, for example.
    Type: Application
    Filed: August 29, 2002
    Publication date: January 2, 2003
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Kiyoyuki Morita, Takashi Ohtsuka, Michihito Ueda
  • Patent number: 6500773
    Abstract: A method of forming an organosilicate layer is disclosed. The organosilicate layer is formed by reacting a gas mixture comprising a phenyl-based alkoxysilane compound. The gas mixture may be reacted by applying an electric field thereto. The gas mixture may optionally include an organosilane compound as well as an oxidizing gas. The organosilicate layer is compatible with integrated circuit fabrication processes. In one integrated circuit fabrication process, the organosilicate layer is used as an anti-reflective coating (ARC). In another integrated circuit fabrication process, the organosilicate layer is used as a hardmask. In yet another integrated circuit fabrication process, the organosilicate layer is incorporated into a damascene structure.
    Type: Grant
    Filed: November 27, 2000
    Date of Patent: December 31, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Frederic Gaillard, Li-Qun Xia, Ellie Yieh
  • Patent number: 6500772
    Abstract: A method of depositing a film on a substrate, comprising placing the substrate in the presence of plasma energy, and contacting the substrate with a reactive gas component comprising a compound of the formula (R—NH)4−nSiXn, wherein R is an alkyl group, n is 1, 2, or 3, and X is selected from hydrogen or the halogens. The reactive gas composition may further comprise an oxidizer and/or a reducing agent.
    Type: Grant
    Filed: January 8, 2001
    Date of Patent: December 31, 2002
    Assignee: International Business Machines Corporation
    Inventors: Ashima B. Chakravarti, Richard A. Conti, Chester Dziobkowski, Thomas Ivers, Paul Jamison, Frank Liucci
  • Patent number: 6495447
    Abstract: A method of manufacturing a semiconductor device includes forming a first level, forming a first barrier layer over the first level, forming a dielectric layer over the first barrier layer; decreasing the hydrophilic properties of a first portion of the dielectric layer, forming an opening through the dielectric layer, and filling the opening with metal to form a first metal feature. The hydrophilic properties of the first portion are lesser than a second portion of the dielectric layer. The hydrophilic properties of the first portion can be decreased by doping the first portion with hydrogen using ion implantation or plasma etching. An upper surface of the dielectric layer can also be roughened during the process of hydrogen doping. A semiconductor device produced by the method of manufacturing is also disclosed.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: December 17, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lynne A. Okada, Calvin T. Gabriel
  • Publication number: 20020187651
    Abstract: A technique for controlling the oxidation of silicon is achieved by applying low temperature ammonia prior to the oxidation. The result is that the subsequent oxidation of the silicon is at a slower oxidation rate and higher nitrogen content. The higher nitrogen content is particularly beneficial for a gate dielectric because it acts as somewhat of a boron barrier and provides additional resistance to unwanted oxidation. The result is transistors with improved gate dielectric thickness uniformity across a wafer for a tighter threshold voltage distribution, reduced shift in threshold voltage, and improved time to breakdown.
    Type: Application
    Filed: June 11, 2001
    Publication date: December 12, 2002
    Inventors: Kimberly G. Reid, Hsing-Huang Tseng, Julie C.H. Chang, John R. Alvis
  • Patent number: 6489255
    Abstract: A layer of doped oxide glass is deposited on a semiconductor device in a chemical vapor deposition chamber by reacting gaseous sources of silicon, ozone and at least one boron or phosphorus dopant in a carrier gas, the ozone being present in a ratio of about 9-15 weight percent of the carrier gas. The deposited layer of doped oxide glass contains no greater than about 4 weight percent each of boron and phosphorus concentration and is annealed at a temperature no greater than about 700° C. for a time sufficient to soften and outgas any residual moisture in the oxide glass layer and level the upper surface to a desired degree.
    Type: Grant
    Filed: December 12, 1996
    Date of Patent: December 3, 2002
    Assignee: International Business Machines Corporation
    Inventors: Son Van Nguyen, Christopher Joseph Waskiewicz, Donna Rizzone Cote
  • Patent number: 6489252
    Abstract: A method of forming a SOG insulation layer of a semiconductor device comprises the steps of forming the SOG insulation layer on a substrate having a stepped pattern using a solution containing a polysilazane in an amount of less than 20% by weight in terms concentration of solid content, performing a pre-bake process for removing solvent ingredients in the insulation layer at a temperature of 50 to 350° C., and annealing at a temperature of 600 to 1200° C. The method of the invention further includes performing a hard bake process at a temperature of about 400° C. between the pre-bake process and the annealing step. Also, the polysilazane is desirably contained in an amount of 10 to 15% by weight.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: December 3, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Seon Goo, Eun-Kee Hong, Hong-Gun Kim, Jin-Gi Hong
  • Publication number: 20020168877
    Abstract: A substrate processing apparatus, wherein a flowing direction of a gas flow which has flown upwardly and ascended in an inner tube (3A) is changed at an upper portion of the inner tube (3A) so as to be flown between the inner tube (3A) and an outer tube (2A) and exhausted outwardly, comprising: an inner tube cap 11 suited for covering the upper portion of the inner tube (3A); gas passages provided between the upper portion of the inner tube (3A) and the inner tube cap (11); and the inner tube cap (11) having a central portion protruded into an upstream of the gas flow. According to the substrate processing apparatus thus configured and a method of manufacturing a semiconductor device using the substrate processing apparatus, it is possible to prevent a reaction product from being deposited on a ceiling portion of an outer tube as well as being deposited as particles on a processing or processed substrate or substrates disposed in the inner tube.
    Type: Application
    Filed: March 18, 2002
    Publication date: November 14, 2002
    Inventors: Tomoshi Taniyama, Kouji Tometsuka
  • Publication number: 20020168876
    Abstract: A process for forming a substantially planarized nanoporous dielectric silica coating on a substrate suitable for preparing a semiconductor device, and semiconductor devices produced by the methods of the invention.
    Type: Application
    Filed: October 26, 2001
    Publication date: November 14, 2002
    Inventors: Denis H. Endisch, James S. Drage
  • Patent number: 6479407
    Abstract: An interlayer insulation film containing a dielectric component represented by a chemical formula having a Si—E bond or a Si—CH3 bond is formed on a substrate. Next, a photoresist is formed on the interlayer insulation film. The photoresist is then formed into a form of a contact hole. Thereafter, dry-etching of the interlayer insulation film is conducted by use of the photoresist as a mask. Subsequently, the photoresist is removed, and the interlayer insulation film is exposed to nitrogen plasma and hydrogen plasma, for example.
    Type: Grant
    Filed: January 23, 2001
    Date of Patent: November 12, 2002
    Assignee: NEC Corporation
    Inventors: Takashi Yokoyama, Tatsuya Usami
  • Patent number: 6479405
    Abstract: A method of forming a silicon oxide layer of a semiconductor device comprising coating a spin-on glass (SOG) composition including perhydropolysilazane having a compound of the formula (SiH2NH2)n where n represents a positive integer on a semiconductor substrate having a surface discontinuity, to form a planar SOG layer; and forming a silicon oxide layer with a planar surface by implementing a first heat treatment to convert an SOG solution into oxide and a second heat treatment to densify thus obtained oxide. The silicon oxide layer of the present invention can bury a gap between gaps of VLSI having a high aspect ratio and gives the same characteristics as a CVD oxide layer. Further, the oxidation of silicon in the active region is restrained in the present invention to secure dimension stability. Also disclosed is a semiconductor device made by the method.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: November 12, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Ho Lee, Dong-Jun Lee, Dae-Won Kang, Sung-Taek Moon, Gi-Hag Lee, Jung-Sik Choi
  • Patent number: 6479409
    Abstract: Disclosed is a method of fabricating a semiconductor device, in which an interlayer insulating film having a low dielectric constant is formed by coating a wiring, and either a via hole or a contact hole is formed in the interlayer insulating film. The method of fabricating a semiconductor device having the interlayer insulating film 25 formed on the film-formed substrate 21 with the exposed wiring 23, comprises the step of converting a silicon compound containing only the Si, O, C and H into a plasma gas as a film-forming gas to react the plasma gas, thus forming the block insulating film 24 containing silicon (Si), oxygen (O), carbon (C) and hydrogen (H) between the wiring 23 and the interlayer insulating film 25.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: November 12, 2002
    Assignees: Canon Sales Co., Inc., Semiconductor Process Laboratory Co., Ltd.
    Inventors: Yoshimi Shioya, Kouichi Ohira, Kazuo Maeda, Tomomi Suzuki, Hiroshi Ikakura, Youichi Yamamoto, Yuichiro Kotake, Shoji Ohgawara, Makoto Kurotobi
  • Publication number: 20020164879
    Abstract: The invention includes methods of forming microstructure devices. In an exemplary method, a substrate is provided which includes a first material and a second material. At least one of the first and second materials is exposed to vapor-phase alkylsilane-containing molecules to form a coating over the at least one of the first and second materials.
    Type: Application
    Filed: May 7, 2001
    Publication date: November 7, 2002
    Applicant: Applied Materials, Inc.
    Inventors: Toi Yue Becky Leung, Jeffrey D. Chinn
  • Patent number: 6465372
    Abstract: A method for forming an insulation layer over a substrate. The method forms a carbon-doped silicon oxide layer by thermal chemical vapor deposition using an organosilane. The carbon-doped silicon oxide layer is subsequently cured and densified. In one embodiment, the cured film is densified in a nitrogen-containing plasma. The method is particularly suitable for deposition of low dielectric constant films, i.e., where k is less than or equal to 3.0. Low-k, carbon-doped silicon oxide methylsilane or di-, tri-, tetra-, or phenylmethylsilane. and ozone. The above method can be carried out in a substrate processing system having a process chamber; a substrate holder, a heater, a gas delivery system, and a power supply, all of which are coupled to a controller. The controller contains a memory having a computer-readable medium with a program embodied for directing operation of the system in accordance with above method.
    Type: Grant
    Filed: August 7, 2000
    Date of Patent: October 15, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Li-Qun Xia, Tian-Hoe Lim, Frederic Gaillard, Ellie Yieh
  • Patent number: 6458722
    Abstract: A method and system for forming a layer on a substrate in a process chamber are provided. Deposition gases are provided to the process chamber and permitted to mix in the desired relative concentrations prior to the deposition step, resulting in improved composition uniformity of the layer. This may be accomplished by generating a heating plasma from a first gaseous mixture. The plasma is then terminated and a second gaseous mixture is provided to the process chamber such that the second gaseous mixture is substantially uniformly mixed. A second plasma is then generated from the second gaseous mixture to deposit the layer on the substrate.
    Type: Grant
    Filed: October 25, 2000
    Date of Patent: October 1, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Bikram Kapoor, Kent Rossman
  • Patent number: 6458721
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 comprises placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber. A “clean” silicate glass base layer substantially free of carbon particle impurities on an upper surface is formed in one of two ways. The first employs plasma-enhanced chemical vapor deposition using TEOS and diatomic oxygen gases as precursors to first deposit a “dirty” silicate glass base layer having carbon particle impurities imbedded on an upper surface thereof being transformed to a clean base layer by subjecting it to a plasma treatment, using a mixture of a diamagnetic oxygen-containing oxidant, such as ozone or hydrogen peroxide, and diatomic oxygen gas into the chamber and striking an RF plasma.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: October 1, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Patent number: 6458720
    Abstract: A method for forming an interlayer dielectric film includes the step of forming the interlayer dielectric film out of an organic/inorganic hybrid film by plasma-polymerizing a source material, including an organosilicon compound, at a relatively high pressure within an environment containing nitrogen gas as a dilute gas.
    Type: Grant
    Filed: July 21, 2000
    Date of Patent: October 1, 2002
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Nobuo Aoi
  • Publication number: 20020138098
    Abstract: A method of anastomosing two hollow bodily organs using a bioadhesive. The method involves apposing apertures in the organs to be joined and applying the bioadhesive, thereby joining the apertures in the organs and allowing movement of fluid or semi-solid material from one of the two organs to the second organ. The invention also relates to a device for anastomosing two hollow organs. The device has two inflatable balloons, one of which is placed into the lumen each of the two organs to be joined. Inflation of the balloons holds the apertures together while the bioadhesive is applied. The device allows anastomosis of blood vessels through endoscopic means.
    Type: Application
    Filed: September 25, 1998
    Publication date: September 26, 2002
    Inventors: KIRBY S. BLACK, STEVE GUNDRY, UMIT YUKSEL
  • Patent number: 6455411
    Abstract: A dual damascene process for low-k or ultra low-k dielectric such as organo-silicate glass (OSG). After the via (112) etch, a trench (121) is etched in the OSG layer (108) using a less-polymerizing fluorocarbon added to an etch chemistry comprising a fluorocarbon and low N2/Ar ratio. The low N2/Ar ratio controls ridge formation during the trench etch. The combination of a less-polymerizing fluorocarbon with a higher-polymerizing fluorocarbon achieves a high etch rate and defect-free conditions.
    Type: Grant
    Filed: September 6, 2001
    Date of Patent: September 24, 2002
    Assignee: Texas Instruments Incorporated
    Inventors: Ping Jiang, Francis G. Celii, Kenneth J. Newton, Hiromi Sakima
  • Publication number: 20020127828
    Abstract: A plurality of wafers W are mounted on a wafer boat 11 in a reaction tube 1 having a double-tube structure as shelves, and zones 6a, 6b and 6c in the reaction tube 1 are heated to a first process temperature, e.g., 770° C., by heating parts 4a, 4b and 4c of a heater 4, respectively. Then, while the temperature in each of the zones 6a, 6b and 6c is lowered to a second process temperature, e.g., 750° C., which is lower than the first process temperature, a deposition gas is fed to deposit a thin film. If a step of raising the temperature in each of the zones 6a, 6b and 6c and a step of feeding the deposition gas while lowering the temperature of each of the zones 6a, 6b and 6c are repeatedly carried out, a deposition process is carried out while the temperature of the peripheral edge portion of each of the wafers W is lower than the temperature of the central portion of the wafer W. Thus the uniformity of the thickness of the film deposited on each of the wafers is improved.
    Type: Application
    Filed: March 6, 2001
    Publication date: September 12, 2002
    Inventors: Fujio Suzuki, Koichi Sakamoto, Wenling Wang, Moyuru Yasuhara
  • Publication number: 20020127875
    Abstract: A method for forming a low k dielectric constant material over a substrate. According to one embodiment, the method includes combining, in a mixing apparatus fluidly coupled to a solution applicator, an organo silicate glass (OSG) precursor, a solvent and a surfactant with water and an acid catalyst to form a coating solution; aging the coating solution in the mixing apparatus to form an aged coating solution; transporting the aged coating solution to the solution applicator; and then applying the aged coating solution to the substrate with the applicator.
    Type: Application
    Filed: March 6, 2002
    Publication date: September 12, 2002
    Applicant: Applied Materials, Inc.
    Inventors: Timothy Weidman, Eric (Bram) Britcher, Todd Balisky
  • Patent number: 6448187
    Abstract: A method and apparatus for depositing a low dielectric constant film includes depositing a silicon oxide based film, preferably by reaction of an organosilicon compound and an oxidizing gas at a low RF power level from about 10W to about 500W, exposing the silicon oxide based film to water or a hydrophobic-imparting surfactant such as hexamethyldisilazane, and curing the silicon oxide based film at an elevated temperature. Dissociation of the oxidizing gas can be increased in a separate microwave chamber to assist in controlling the carbon content of the deposited film. The moisture resistance of the silicon oxide based films is enhanced.
    Type: Grant
    Filed: February 21, 2001
    Date of Patent: September 10, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Wai-Fan Yau, David Cheung, Nasreen Gazala Chopra, Yung-Cheng Lu, Robert Mandal, Farhad Moghadam
  • Patent number: 6436849
    Abstract: A method for manufacturing a semiconductor device, comprising controlling a humidity in an atmosphere around a low dielectric constant insulating film at 30% or less, during a processing period and a transfer period between processing equipments, in which at least a part of said low dielectric constant insulating film is exposed to the atmosphere.
    Type: Grant
    Filed: September 27, 2001
    Date of Patent: August 20, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masahiko Hasunuma, Hideshi Miyajima, Hisashi Kaneko, Rempei Nakata
  • Patent number: 6436824
    Abstract: Novel low dielectric constant materials for use as dielectric in the dual damascene process are provided. A low dielectric constant material dielectric layer is formed by reacting a nitrogen-containing precursor and a substituted organosilane in a plasma-enhanced chemical deposition chamber. Also, novel low dielectric constant materials for use as a passivation or etch stop layer in the dual damascene process are provided. A carbon-doped silicon nitride passivation or etch stop layer having a low dielectric constraint is formed by reacting a substituted ammonia precursor and a substituted organosilane in a plasma-enhanced chemical deposition chamber. Alternatively, a silicon-carbide passivation or etch stop layer having a low dielectric constant is formed by reacting a substituted organosilane in a plasma-enhanced chemical deposition chamber. Also, an integrated process of forming passivation, dielectric, and etch stop layers for use in the dual damascene process is described.
    Type: Grant
    Filed: July 2, 1999
    Date of Patent: August 20, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Simon Chooi, Mei Sheng Zhou, Yi Xu
  • Publication number: 20020111040
    Abstract: In forming various types of insulating films in manufacture of a semiconductor device, carbon is gasified into CHx, COH etc. during film formation by adding active hydrogen and nitrogen oxide to reduce the carbon content during the film formation, and the effect of blocking impurities such as alkali metals is improved.
    Type: Application
    Filed: October 24, 2001
    Publication date: August 15, 2002
    Applicant: Semiconductor Energy Laboratory Co., Ltd., a Japanese Corporation
    Inventors: Shunpei Yamazaki, Mitsunori Sakama, Takeshi Fukada
  • Patent number: 6432839
    Abstract: The invention is a method for forming a flattened interlayer insulating film covering a wiring layer or the like of a semiconductor IC device, and a method of manufacturing a semiconductor device. The film-forming method includes the steps of preparing a deposition gas containing an inert gas, and a silicon and phosphorus-containing compound having III valance phosphorus in which at least one oxygen is bonded to the phosphorous, and forming a silicon containing insulating film containing P2O3 on a substrate by using the deposition gas.
    Type: Grant
    Filed: March 13, 2001
    Date of Patent: August 13, 2002
    Assignees: Canon Sales Co., Inc., Semiconductor Process Laboratory Co., Ltd.
    Inventors: Kazuo Maeda, Noboru Tokumasu, Yuki Ishii, Toshiro Nishiyama
  • Patent number: 6432846
    Abstract: A method for forming a silicone polymer insulation film having low relative dielectric constant, high thermal stability and high humidity-resistance on a semiconductor substrate is applied to a plasma CVD apparatus. The first step is vaporizing a silicon-containing hydrocarbon compound expressed by the general formula Si&agr;O&bgr;CxHy (&agr;=3, &bgr;=3 or 4, x, and y are integers) and then introducing the vaporized compound to the reaction chamber of the plasma CVD apparatus. The next step is introducing additive gas into the reaction chamber. The residence time of the material gas is lengthened by reducing the total flow of the reaction gas, in such a way as to formed a silicone polymer film having a micropore porous structure with low relative dielectric constant.
    Type: Grant
    Filed: October 18, 2000
    Date of Patent: August 13, 2002
    Assignee: ASM Japan K.K.
    Inventor: Nobuo Matsuki
  • Patent number: 6426285
    Abstract: A process for forming a composite intermetal dielectric, (IMD), layer, with reduced tensile stress, eliminating defects that can be induced by highly stressed, IMD layers, to underlying dielectric layers, and metal interconnect structures, has been developed. The process features the use of a capping, or overlying, silicon oxide component, obtained via PECVD procedures, using TEOS as a source, and using a set of power, and frequency conditions, resulting in a high compressive stress for the capping silicon oxide layer. The high compressive stress of the capping silicon oxide layer, balances the high tensile stress, inherent in an underlying silicon oxide component, of the composite IMD layer, eliminating stress related defects to underlying dielectric layers, and to underlying metal interconnect structures.
    Type: Grant
    Filed: November 3, 1999
    Date of Patent: July 30, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chin-Tsai Chen, Chao-Ray Wang
  • Publication number: 20020098662
    Abstract: A method is disclosed for removing liquids from a semiconductor substrate by contacting the liquid on the substrate with a liquid which attracts the liquid on the substrate, separating the liquids from the substrate, and inducing a phase transition in a layer on the substrate. In particular, the method is applicable to removing water from a water-containing layer on the substrate by contacting the layer with a hygroscopic liquid. Trenches on a substrate can be isolated by filling the trenches with a water-containing gel formed by reacting silane and hydrogen peroxide. The gel is contacted with sulfuric acid to remove a portion of the water from the gel before annealing to form silica in the trenches. Unlike filled trenches formed by conventional technology, there are no voids in the bottom of the trenches. The method is also applicable to forming dielectric layers which cover metal lines, low-dielectric layers, and interlayer dielectric layers.
    Type: Application
    Filed: December 20, 2001
    Publication date: July 25, 2002
    Inventor: Li Li
  • Patent number: 6423630
    Abstract: A process is disclosed for forming low k dielectric material between and over a plurality of spaced apart metal lines previously formed over a dielectric layer of an integrated circuit structure. The steps include: depositing, over and between the plurality of metal lines, a layer of a first low k dielectric material resistant to via poisoning; then planarizing the layer of first low k dielectric material sufficiently to open voids formed in. the first low k dielectric material between the metal lines; then depositing, over the layer of first low k dielectric material and into the opened voids, a layer of second low k dielectric material capable of filling the opened voids in the layer of first low k dielectric material; and then depositing a layer of a third low k dielectric material resistant to via poisoning over the first low k dielectric material and the voids filled with the second low k dielectric material.
    Type: Grant
    Filed: October 31, 2000
    Date of Patent: July 23, 2002
    Assignee: LSI Logic Corporation
    Inventors: Wilbur G. Catabay, Wei-Jen Hsia, Dung-Ching Perng
  • Publication number: 20020090834
    Abstract: An IC includes one or more gaps (18) substantially filled with silicon dioxide (30). The silicon dioxide (30) is deposited into the gaps (18) in response to the reaction of hexamethyldisiloxane (HMDSO) (26) with ozone (28) during a plasma-enhanced CVD (PECVD) process. The IC may be fabricated by inserting a substrate into a chamber. HMDSO (26) and ozone (28) are introduced into the chamber. The HMDSO (26) reacts with the ozone (28) to produce silicon dioxide (30), which is then deposited on the surface (10) of the substrate.
    Type: Application
    Filed: October 25, 2001
    Publication date: July 11, 2002
    Inventors: Wei William Lee, Changming Jin, Kelly J. Taylor
  • Patent number: 6417071
    Abstract: A method for filling a trench within a silicon substrate. There is first provided a silicon substrate having a trench formed therein. There is then formed upon the substrate and within the trench a gap filling silicon oxide trench fill layer employing an ozone assisted thermal chemical vapor deposition (SACVD) method. There is then carried out a densification of the gap filling silicon oxide trench fill layer by annealing in an oxidizing atmosphere at an elevated temperature. Finally, the gap filling silicon oxide trench fill layer is planarized by chemical mechanical polish (CMP) planarization to form the silicon oxide trench filling layer with attenuated surface sensitivity and with an enhanced bulk quality and reduced trench recess at corners.
    Type: Grant
    Filed: January 8, 2001
    Date of Patent: July 9, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Syun-Ming Jang
  • Patent number: 6417118
    Abstract: A method for improving the moisture absorption of porous low dielectric film in an interconnect structure is disclosed. The porous low-k dielectric layer such as porous hydrosilsesquioxane (porous HSQ) or porous methyl silsesquioxane (porous MSQ) is spun-on the etching stop layer. After plasma process, the porous low dielectric film has a plurality of dangling bonds. Then, the wafer is placed in the supplementary instrument with hydrophobic reactive solution. Next, the hydrophobic protection film is formed on surface and sidewall of porous low-k dielectric film to improve the moisture absorption of porous low-k dielectric film and the leakage current is reduced in subsequently processes.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: July 9, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Jung-Chih Hu, Lih-Juann Chen
  • Patent number: 6413583
    Abstract: A method for depositing silicon oxide layers having a low dielectric constant by reaction of an organosilicon compound and a hydroxyl forming compound at a substrate temperature less than about 400° C. The low dielectric constant films contain residual carbon and are useful for gap fill layers, pre-metal dielectric layers, inter-metal dielectric layers, and shallow trench isolation dielectric layers in sub-micron devices. The hydroxyl compound can be prepared prior to deposition from water or an organic compound. The silicon oxide layers are preferably deposited at a substrate temperature less than about 40° C. onto a liner layer produced from the organosilicon compound to provide gap fill layers having a dielectric constant less than about 3.0.
    Type: Grant
    Filed: June 22, 1999
    Date of Patent: July 2, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Farhad K. Moghadam, David W. Cheung, Ellie Yieh, Li-Qun Xia, Wai-Fan Yau, Chi-I Lang, Shin-Puu Jeng, Frederic Gaillard, Shankar Venkataraman, Srinivas Nemani
  • Publication number: 20020081863
    Abstract: A method of manufacturing a semiconductor device comprises preparing a substrate to be treated, and forming an insulation film above the substrate, which includes applying an insulation film raw material above the substrate, the insulation film raw material including a substance or a precursor of the substance, the insulation film comprising the substance, curing the insulation film raw material by irradiating an electron beam on the substrate while heating the substrate in a reactor chamber, changing at least one of parameter selected from the group consisting of pressure in the reactor chamber, temperature of the substrate, type of gas having the substrate exposed thereto, flow rate of gas introduced into the reactor chamber, position of the substrate, and quantity of electrons incident to the substrate per unit time when the electron beam is being irradiated on the substrate.
    Type: Application
    Filed: October 19, 2001
    Publication date: June 27, 2002
    Inventors: Miyoko Shimada, Hideshi Miyajima, Rempei Nakata, Hideto Matsuyama, Katsuya Okumura, Masahiko Hasunuma, Nobuo Hayasaka
  • Patent number: 6410463
    Abstract: In a method for forming in a reactor a film having a low relative dielectric constant on a semiconductor substrate by plasma reaction, the improvement can be achieved by lengthening a residence time, Rt, of a reaction gas in the reactor, wherein 100 msec≦Rt. The film includes insulation films and mask films.
    Type: Grant
    Filed: October 18, 2000
    Date of Patent: June 25, 2002
    Assignee: ASM Japan K.K.
    Inventor: Nobuo Matsuki
  • Patent number: 6410462
    Abstract: A method of producing a low-k interconnect dielectric material, using PECVD processes and readily available precursors to produce carbon-doped silicon oxide (SiOC). SiOC dielectric materials are produced using conventional silane based gas precursors, of silane and nitrous oxide, along with hydrocarbon gas. The use of methane and acetylene in combination with silane based gas precursors is provided. Methane produces network terminating species, specifically methyl, which replaces oxygen in an Si—O bond within a silicon dioxide network. This increases the volume, reduces the density and the dielectric constant of the material. Acetylene acts as a possible source of carbon and as a modifier, reducing or eliminating undesirable bridging species, such as carbene, or enhancing desireable network terminating species, such as methyl. Following implantation, the material is annealed to reduce the—OH and to potentially further lower the dielectric constant.
    Type: Grant
    Filed: May 12, 2000
    Date of Patent: June 25, 2002
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Hongning Yang, David Russell Evans, Sheng Teng Hsu
  • Patent number: 6403464
    Abstract: A method of forming an organic low k layer, for use as an interlevel dielectric layer in semiconductor integrated circuits, has been developed. An organic low k layer, such as a poly arylene ether layer, with a dielectric constant between about 2.6 to 2.8, is applied on an underlying metal interconnect pattern. The moisture contained in the as applied, organic low k layer, or the moisture absorbed by the organic low k layer, due to exposure to the environment, is then reduced via a high density plasma treatment, performed in a nitrogen ambient. The reduction in moisture can be accomplished, even when the organic low k layer had been exposed to the environment for a period of time as great as three months. The dielectric constant, of the organic low k layer, remains unchanged, as a result of the high density plasma treatment.
    Type: Grant
    Filed: November 3, 1999
    Date of Patent: June 11, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Weng Chang
  • Publication number: 20020064970
    Abstract: A new method of forming a metal oxide high dielectric constant layer in the manufacture of an integrated circuit device has been achieved. A substrate is provided. A metal oxide layer is deposited overlying the substrate by reacting a precursor with an oxidant gas in a chemical vapor deposition chamber. The metal oxide layer may comprise hafnium oxide or zirconium oxide. The precursor may comprise metal alkoxide, metal alkoxide containing halogen, metal &bgr;-diketonate, metal fluorinated &bgr;-diketonate, metal oxoacid, metal acetate, or metal alkene. The metal oxide layer is annealed to cause densification and to complete the formation of the metal oxide dielectric layer in the manufacture of the integrated circuit device. A composite metal oxide-silicon oxide (MO2-SiO2) high dielectric constant layer may be deposited using a precursor comprising metal tetrasiloxane.
    Type: Application
    Filed: November 30, 2000
    Publication date: May 30, 2002
    Applicant: Chartered Semiconductor Manufacturing Inc.
    Inventors: Simon Chooi, Wenhe Lin, Mei Sheng Zhou
  • Patent number: 6395647
    Abstract: A method is disclosed for removing liquids from a semiconductor substrate by contacting the liquid on the substrate with a liquid which attracts the liquid on the substrate, separating the liquids from the substrate, and inducing a phase transition in a layer on the substrate. In particular, the method is applicable to removing water from a water-containing layer on the substrate by contacting the layer with a hygroscopic liquid. Trenches on a substrate can be isolated by filling the trenches with a water-containing gel formed by reacting silane and hydrogen peroxide. The gel is contacted with sulfuric acid to remove a portion of the water from the gel before annealing to form silica in the trenches. Unlike filled trenches formed by conventional technology, there are no voids in the bottom of the trenches. The method is also applicable to forming dielectric layers which cover metal lines, low-dielectric layers, and interlayer dielectric layers.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: May 28, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Weimin Li
  • Patent number: 6395651
    Abstract: The present invention relates to low dielectric constant nanoporous silica films and to processes for their manufacture. A substrate, e.g., a wafer suitable for the production of an integrated circuit, having a plurality of raised lines and/or electronic elements present on its surface, is provided with a relatively high porosity, low dielectric constant, silicon-containing polymer film composition.
    Type: Grant
    Filed: July 7, 1998
    Date of Patent: May 28, 2002
    Assignee: AlliedSignal
    Inventors: Douglas M. Smith, Teresa Ramos, Kevin H. Roderick, Stephen Wallace, James Drage, Hui-Jung Wu, Neil Viernes, Lisa B. Brungardt
  • Publication number: 20020061657
    Abstract: A method of manufacturing a semiconductor device according to an aspect of the present invention comprises forming a low dielectric constant insulating film having a siloxane bond as main skeleton on a semiconductor substrate, causing a surfactant to permeate the low dielectric constant insulating film, and conducting a predetermined step on the low dielectric constant insulating film permeated with the surfactant in a state adapted to be exposed to water.
    Type: Application
    Filed: September 25, 2001
    Publication date: May 23, 2002
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hideshi Miyajima, Nobuhide Yamada, Nobuo Hayasaka, Nobuyuki Kurashima
  • Patent number: 6391803
    Abstract: An atomic layer deposition method of forming a solid thin film layer containing silicon. A substrate is loaded into a chamber. A first portion of a first reactant is chemisorbed onto the substrate, and a second portion of the first reactant is physisorbed onto the substrate. The physisorbed portion is purged from the substrate and the chamber. A second reactant is injected into the chamber. A first portion is chemically reacted with the chemisorbed first reactant to form a silicon-containing solid on the substrate. The first reactant is preferably Si[N(CH3)2]4, SiH[N(CH3)2]3, SiH2[N(CH3)2]2 or SiH3[N(CH3)2]. The second reactant is preferably activated NH3.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: May 21, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yeong-Kwan Kim, Young-Wook Park, Seung-Hwan Lee
  • Patent number: 6391795
    Abstract: A composite layer of low k silicon oxide dielectric material is formed on an oxide layer of an integrated circuit structure on a semiconductor substrate having closely spaced apart metal lines thereon. The composite layer of low k silicon oxide dielectric material exhibits void-free deposition properties in high aspect ratio regions between the closely spaced apart metal lines, deposition rates in other regions comparable to standard k silicon oxide, and reduced via poisoning characteristics. The composite layer of low k silicon oxide dielectric material is formed by depositing, in high aspect ratio regions between closely spaced apart metal lines, a first layer of low k silicon oxide dielectric material exhibiting void-free deposition properties until the resulting deposition of low k silicon oxide dielectric material reaches the level of the top of the metal lines on the oxide layer.
    Type: Grant
    Filed: October 22, 1999
    Date of Patent: May 21, 2002
    Assignee: LSI Logic Corporation
    Inventors: Wilbur G. Catabay, Richard Schinella
  • Patent number: 6387827
    Abstract: A method of growing a silicon oxide layer on a silicon substrate by means of a thermal oxidation in a furnace in the presence of a gaseous mixture, said mixture comprising oxygen and Cl2, said Cl2 being generated by an organic chlorine-carbon source, particularly oxalyl chloride. This method is directed to the growth of (ultra) thin silicon oxides and/or the cleaning of a substrate using a low oxidation power. Consequently the method disclosed is especially suited for temperature below 700° C. and for oxidation ambients containing only small amounts of oxygen.
    Type: Grant
    Filed: November 26, 1997
    Date of Patent: May 14, 2002
    Assignees: Imec (vzw), ASM International, Olin
    Inventors: Paul Mertens, Michael McGeary, Hessel Sprey, Karine Kenis, Marc Schaekers, Marc Heyns