Substrate Surface Preparation Patents (Class 438/974)
  • Patent number: 9029243
    Abstract: A method for producing a semiconductor device is provided. The method includes providing a wafer including a main surface and a silicon layer arranged at the main surface and having a nitrogen concentration of at least about 3*1014 cm?3, and partially out-diffusing nitrogen to reduce the nitrogen concentration at least close to the main surface. Further, a semiconductor device is provided.
    Type: Grant
    Filed: October 8, 2012
    Date of Patent: May 12, 2015
    Assignee: Infineon Technologies AG
    Inventors: Hans-Joachim Schulze, Peter Irsigler
  • Patent number: 9012887
    Abstract: The present invention relates to growth of III-V semiconductor nanowires (2) on a Si substrate (3). Controlled vertical nanowire growth is achieved by a step, to be taken prior to the growing of the nanowire, of providing group III or group V atoms to a (111) surface of the Si substrate to provide a group III or group V 5 surface termination (4). A nanostructured device including a plurality of aligned III-V semiconductor nanowires (2) grown on, and protruding from, a (111) surface of a Si substrate (3) in an ordered pattern in compliance with a predetermined device layout is also presented.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: April 21, 2015
    Assignee: Qunano AB
    Inventors: Lars Samuelson, Jonas Ohlsson, Thomas Mårtensson, Patrik Svensson
  • Patent number: 8987148
    Abstract: With a stage kept in an as-heated state, a semiconductor wafer is placed over the stage. Then, with the elapse of a first time, a controller causes a pressure inside a vacuum chamber to rise to a second pressure higher than a first pressure (step S40). After the semiconductor wafer is placed over the stage, a pressure difference between a pressure inside the vacuum chamber and a pressure inside an adsorption port is set to a minimum value at which the semiconductor wafer is not allowed to slide over protrusions. Further, in step S40 as well, the pressure difference is kept at the minimum value at which the semiconductor wafer is not allowed to slide over the protrusions.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: March 24, 2015
    Assignee: Renesas Electronics Corporation
    Inventors: Misato Sakamoto, Yoshitake Katou, Youichi Yamamoto, Takashi Kyouno, Chikara Yamamoto, Terukazu Motosawa, Mitsuo Maeda, Hiroshi Itou
  • Patent number: 8932940
    Abstract: Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures.
    Type: Grant
    Filed: October 28, 2009
    Date of Patent: January 13, 2015
    Assignee: The Regents of the University of California
    Inventors: Deli Wang, Cesare Soci, Xinyu Bao, Wei Wei, Yi Jing, Ke Sun
  • Patent number: 8835282
    Abstract: A method for forming a multi-material thin film includes providing a multi-material donor substrate comprising single crystal silicon and an overlying film comprising GaN. Energetic particles are introduced through a surface of the multi-material donor substrate to a selected depth within the single crystal silicon. The method includes providing energy to a selected region of the donor substrate to initiate a controlled cleaving action in the donor substrate. Then, a cleaving action is made using a propagating cleave front to free a multi-material film from a remaining portion of the donor substrate, the multi-material film comprising single crystal silicon and the overlying film.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: September 16, 2014
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Nathan Cheung
  • Patent number: 8722513
    Abstract: The present invention relates to a semiconductor chip stack package and a manufacturing method thereof, and more particularly, to a semiconductor chip stack package and a manufacturing method thereof in which a plurality of chips can be rapidly arranged and bonded without a precise device or operation so as to improve productivity.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: May 13, 2014
    Assignee: Korea Institute of Machinery & Materials
    Inventors: Jae-Hak Lee, Chang-Woo Lee, Joon-Yub Song, Tae-Ho Ha
  • Patent number: 8664012
    Abstract: A method of forming a semiconductor device. A substrate having first and second materials is provided, wherein the second material is occluded by the first material. The substrate is etched using a first non-plasma etch process that etches the first material at a higher rate relative to a rate of etching the second material. The first non-plasma etch process exposes the second material that is overlying at least a portion of the first material. The second material is then etched using a plasma containing a reactive gas, which exposes the at least a portion of the first material. The first material including the at least a portion of the first material that was exposed by etching the second material are etched using a second non-plasma etch process.
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: March 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Richard H. Gaylord, Blaze J. Messer, Kaushik A. Kumar
  • Patent number: 8575039
    Abstract: A surface treating method for treating a surface of a substrate inside a process chamber includes the steps of generating an atmosphere containing no moisture in the process chamber, heating the substrate inside the atmosphere containing no moisture in the process chamber; and causing a reaction between the substrate and an adhesion accelerating agent by feeding the adhesion accelerating agent gas into the process chamber.
    Type: Grant
    Filed: March 21, 2012
    Date of Patent: November 5, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Tatsuya Yamaguchi, Hiroyuki Hashimoto
  • Patent number: 8530353
    Abstract: A method of manufacturing a SiC substrate which has a first principal surface and a second principal surface, includes the step of removing, by a vapor phase etching process, at least a portion of a work-affected layer which is formed by mechanical flattening or cutting on the first principal surface of the SiC substrate.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: September 10, 2013
    Assignee: Hitachi Metals, Ltd.
    Inventor: Taisuke Hirooka
  • Patent number: 8436366
    Abstract: A substrate achieving suppressed deterioration of processing accuracy of a semiconductor device due to bending of the substrate, a substrate with a thin film and a semiconductor device formed with the substrate above, and a method of manufacturing the semiconductor device above are obtained. A substrate according to the present invention has a main surface having a diameter of 2 inches or greater, a value for bow at the main surface being not smaller than ?40 ?m and not greater than ?5 ?m, and a value for warp at the main surface being not smaller than 5 ?m and not greater than 40 ?m. Preferably, a value for surface roughness Ra of the main surface of the substrate is not greater than 1 nm and a value for surface roughness Ra of a main surface is not greater than 100 nm.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: May 7, 2013
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Shin Harada, Makoto Sasaki, Takeyoshi Masuda
  • Patent number: 8383491
    Abstract: A step of forming an insulating film over a semiconductor substrate and forming an embrittled region in the semiconductor substrate by irradiating the semiconductor substrate with accelerated ions through the insulating film; a step of disposing a surface of the semiconductor substrate and a surface of a base substrate opposite to each other and bonding the surface of the insulating film to the surface of the base substrate; a step of forming a semiconductor layer over the base substrate with the insulating film interposed therebetween by causing separation along the embrittled region by performing heat treatment after the surface of the insulating film and the surface of the base substrate are bonded to each other; a step of performing etching treatment on the semiconductor layer; a step of irradiating the semiconductor layer subjected to the etching treatment with a laser beam; and a step of irradiating the semiconductor layer irradiated with the laser beam with plasma.
    Type: Grant
    Filed: September 23, 2009
    Date of Patent: February 26, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Motomu Kurata, Shinya Sasagawa, Taiga Muraoka
  • Patent number: 8377825
    Abstract: Methods and apparatus for reducing damage of a semiconductor donor wafer include the steps of: (a) rotating a polishing pad, rotating the semiconductor donor wafer, applying a polishing slurry to the polishing pad, and pressing the semiconductor donor wafer and the polishing pad together; and (b) rotating the polishing pad and the semiconductor donor wafer, discontinuing the application of the polishing slurry, applying a rinsing fluid to the polishing pad, and pressing the semiconductor donor wafer and the polishing pad together, wherein step (a) followed by step (b) is carried out in sequence at least two times, and at least one of the following are reduced in at least two successive intervals of step (a): (i) a pressure at which the semiconductor donor wafer and the polishing pad are pressed together, (ii) a mean particle size of an abrasive within the polishing slurry, and (iii) a concentration of the slurry in water and stabilizers.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: February 19, 2013
    Assignee: Corning Incorporated
    Inventors: Jonas Bankaitis, Michael John Moore
  • Patent number: 8334222
    Abstract: A processing method of a semiconductor wafer is provided. The method comprising the steps of: removing at least part of oxide film from a surface of the semiconductor wafer; removing liquid from the surface; and providing at least partial oxide film on the surface by applying an oxidizing gas wherein a gas flow of the oxidizing gas and/or an ambient gas involved by the oxidizing gas is characterized by an unsaturated vapor pressure of the liquid such that the liquid on the surface vaporizes. The above-described steps are conducted in this order.
    Type: Grant
    Filed: May 1, 2009
    Date of Patent: December 18, 2012
    Assignee: Sumco Techxiv Corporation
    Inventors: Isamu Gotou, Tomonori Kawasaki
  • Patent number: 8268735
    Abstract: Surface treatment is performed with a liquid, while shielding a semiconductor surface from light. When the method is employed for surface treatment in wet processes such as cleaning, etching and development of the semiconductor surface, increase of surface microroughness can be reduced. Thus, electrical characteristics and yield of the semiconductor device are improved.
    Type: Grant
    Filed: January 30, 2007
    Date of Patent: September 18, 2012
    Assignees: Tohoku University, Foundation for Advancement of International Science
    Inventors: Tadahiro Ohmi, Hitoshi Morinaga
  • Patent number: 8232123
    Abstract: An organic light emitting device and a manufacturing method thereof, including a first signal line and a second signal line intersecting each other on an insulating substrate, a switching thin film transistor connected to the first signal line and the second signal line, a driving thin film transistor connected to the switching thin film transistor, and a light emitting diode (“LD”) connected to the driving thin film transistor. The driving thin film transistor includes a driving control electrode and a driving semiconductor overlapping the driving control electrode, crystallized silicon having a doped region and a non-doped region, a driving gate insulating layer disposed between the driving control electrode and the driving semiconductor, and a driving input electrode and a driving output electrode opposite to each other on the driving semiconductor, wherein the interface between the driving gate insulating layer and the driving semiconductor includes nitrogen gas.
    Type: Grant
    Filed: April 16, 2009
    Date of Patent: July 31, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyu-Sik Cho, Byoung-Seong Jeong, Joon-Hoo Choi, Jong-Moo Huh
  • Patent number: 8177989
    Abstract: A copper conducting wire structure is for use in the thin-film-transistor liquid crystal display (LCD) device. The copper conducting wire structure includes at least a buffer layer and a copper layer. A fabricating method of the copper conducting wire structure includes the following steps. At first, a glass substrate is provided. Next, the buffer layer is formed on the glass substrate. The buffer layer is comprised of a copper nitride. At last, the copper layer is formed on the buffer layer.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: May 15, 2012
    Assignee: AU Optronics Inc.
    Inventors: Feng-Yuan Gan, Han-Tu Lin, Kuo-Yuan Tu
  • Patent number: 8153505
    Abstract: A method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching. The method may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2. The surfaces to be bonded are polished to a high degree of smoothness and planarity. VSE may use reactive ion etching or wet etching to slightly etch the surfaces being bonded. The surface roughness and planarity are not degraded and may be enhanced by the VSE process. The etched surfaces may be rinsed in solutions such as ammonium hydroxide or ammonium fluoride to promote the formation of desired bonding species on the surfaces.
    Type: Grant
    Filed: November 26, 2010
    Date of Patent: April 10, 2012
    Assignee: Ziptronix, Inc.
    Inventors: Qin-Yi Tong, Gaius Gillman Fountain, Jr., Paul M. Enquist
  • Patent number: 8084337
    Abstract: The present invention relates to growth of III-V semiconductor nanowires (2) on a Si substrate (3). Controlled vertical nanowire growth is achieved by a step, to be taken prior of the growing of the nanowire, of providing group III or group V atoms to a (111) surface of the Si substrate to provide a group III or group V 5 surface termination (4). A nanostructured device comprising a plurality of aligned III-V semiconductor nanowires (2) grown on, and protruding from, a (111) surface of a Si substrate (3) in an ordered pattern in compliance with a predetermined device layout is also presented.
    Type: Grant
    Filed: October 27, 2008
    Date of Patent: December 27, 2011
    Assignee: QuNano AB
    Inventors: Lars Samuelson, Jonas Ohlsson, Thomas Mårtensson, Patrik Svensson
  • Patent number: 8053329
    Abstract: A method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching. The method may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2. The surfaces to be bonded are polished to a high degree of smoothness and planarity. VSE may use reactive ion etching or wet etching to slightly etch the surfaces being bonded. The surface roughness and planarity are not degraded and may be enhanced by the VSE process. The etched surfaces may be rinsed in solutions such as ammonium hydroxide or ammonium fluoride to promote the formation of desired bonding species on the surfaces.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: November 8, 2011
    Assignee: Ziptronix, Inc.
    Inventors: Qin-Yi Tong, Gaius Gillman Fountain, Jr., Paul M. Enquist
  • Patent number: 8048693
    Abstract: The present invention provides methods for relaxing a strained-material layer and structures produced by the methods. Briefly, the methods include depositing a first low-viscosity layer that includes a first compliant material on the strained-material layer, depositing a second low-viscosity layer that includes a second compliant material on the strained-material layer to form a first sandwiched structure and subjecting the first sandwiched structure to a heat treatment such that the reflow of the first and the second low-viscosity layers permits the strained-material layer to at least partly relax.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: November 1, 2011
    Assignee: S.O.I. Tec Silicon on Insulator Technologies
    Inventors: Fabrice Letertre, Carlos Mazure
  • Patent number: 8012886
    Abstract: A method is provided for treating a leadframe comprising copper or copper alloy to enhance adhesion of molding compound to it. The leadframe is oxidized in an oxidation treatment bath to form copper oxide on the surface of the leadframe. It is then dipped in a complexing or chelating agent to enhance the purity of the copper oxide formed. Thereafter, the leadframe is cleaned with an acid to remove any contaminants remaining on the leadframe.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: September 6, 2011
    Assignee: ASM Assembly Materials Ltd
    Inventors: Yiu Fai Kwan, Tat Chi Chan, Wai Chan, Chi Chung Lee
  • Patent number: 8012852
    Abstract: A technique for forming a film of material (12) from a donor substrate (10). The technique has a step of introducing energetic particles (22) through a surface of a donor substrate (10) to a selected depth (20) underneath the surface, where the particles have a relatively high concentration to define a donor substrate material (12) above the selected depth. An energy source is directed to a selected region of the donor substrate to initiate a controlled cleaving action of the substrate (10) at the selected depth (20), whereupon the cleaving action provides an expanding cleave front to free the donor material from a remaining portion of the donor substrate.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: September 6, 2011
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Nathan W. Cheung
  • Patent number: 7919815
    Abstract: Wafer suitable for semiconductor deposition application can be fabricated to have low bow, warp, total thickness variation, taper, and total indicated reading properties. The wafers can be fabricated by cutting a boule to produce rough-cut wafers, lapping the rough-cut wafers, etching the lapped wafers to remove a defect, deformation zone and relieve residual stress, and chemically mechanically polishing the etched wafers to desired finish properties. Etching can be performed by immersion in a heated etching solution comprising sulfuric acid or a mixture of sulfuric and phosphoric acids. A low pH slurry utilized in chemical mechanical polishing of the spinel wafer can comprise ?-Al2O3 and an organic phosphate.
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: April 5, 2011
    Assignee: Saint-Gobain Ceramics & Plastics, Inc.
    Inventors: Brahmanandam Tanikella, Elizabeth Thomas, Frank L. Csillag, Palaniappan Chinnakaruppan, Jadwiga Jaroniec, Eric Virey, Robert A. Rizzuto
  • Patent number: 7871898
    Abstract: A method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching. The method may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2. The surfaces to be bonded are polished to a high degree of smoothness and planarity. VSE may use reactive ion etching or wet etching to slightly etch the surfaces being bonded. The surface roughness and planarity are not degraded and may be enhanced by the VSE process. The etched surfaces may be rinsed in solutions such as ammonium hydroxide or ammonium fluoride to promote the formation of desired bonding species on the surfaces.
    Type: Grant
    Filed: March 9, 2010
    Date of Patent: January 18, 2011
    Assignee: Ziptronix, Inc.
    Inventors: Qin-Yi Tong, Gaius Gillman Fountain, Jr., Paul M. Enquist
  • Patent number: 7867877
    Abstract: A method for manufacturing SOI wafers is provided which allows the obtaining of a thin SOI layer having uniform in-plane thickness. In this manufacturing method, an oxygen ion implanted layer is first formed on an active layer wafer. This is then laminated to a base wafer with a embedded oxide film interposed therebetween. The active layer wafer side of the laminated wafer is then ground to remove a portion thereof. The remaining surface side of the active layer wafer is removed by polishing or KOH etching to expose the oxygen ion implanted layer. Oxygen ions are implanted to a uniform depth within the plane of the oxygen ion implanted layer in this oxygen ion implanted layer. Subsequently, oxidizing treatment is carried out to form an oxide film on the exposed surface of the oxygen ion implanted layer. Moreover, this oxide film is removed together with the oxygen ion implanted layer by an HF solution. The remaining portion of the active layer wafer serves as a thin SOI layer.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: January 11, 2011
    Assignee: Sumco Corporation
    Inventors: Etsuro Morita, Akihiko Endo
  • Patent number: 7846818
    Abstract: A technique for forming a film of material (12) from a donor substrate (10). The technique has a step of introducing energetic particles (22) through a surface of a donor substrate (10) to a selected depth (20) underneath the surface, where the particles have a relatively high concentration to define a donor substrate material (12) above the selected depth. An energy source is directed to a selected region of the donor substrate to initiate a controlled cleaving action of the substrate (10) at the selected depth (20), whereupon the cleaving action provides an expanding cleave front to free the donor material from a remaining portion of the donor substrate.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: December 7, 2010
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Nathan W. Cheung
  • Patent number: 7833907
    Abstract: Methods of avoiding chemical mechanical polish (CMP) edge erosion and a related wafer are disclosed. In one embodiment, the method includes providing a wafer; forming a first material across the wafer; forming a second material at an outer edge region of the wafer, leaving a central region of the wafer devoid of the second material; and performing chemical mechanical polishing (CMP) on the wafer. The second material diminishes CMP edge erosion.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: November 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Felix P. Anderson, Anthony K. Stamper
  • Patent number: 7807549
    Abstract: A method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching. One etching process The method may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2. The surfaces to be bonded are polished to a high degree of smoothness and planarity. VSE may use reactive ion etching or wet etching to slightly etch the surfaces being bonded. The surface roughness and planarity are not degraded and may be enhanced by the VSE process. The etched surfaces may be rinsed in solutions such as ammonium hydroxide or ammonium fluoride to promote the formation of desired bonding species on the surfaces.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: October 5, 2010
    Assignee: Ziptronix, Inc.
    Inventors: Qin-Yi Tong, Gaius Gillman Fountain, Jr., Paul M. Enquist
  • Patent number: 7781307
    Abstract: A method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching. The method may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2. The surfaces to be bonded are polished to a high degree of smoothness and planarity. VSE may use reactive ion etching or wet etching to slightly etch the surfaces being bonded. The surface roughness and planarity are not degraded and may be enhanced by the VSE process. The etched surfaces may be rinsed in solutions such as ammonium hydroxide or ammonium fluoride to promote the formation of desired bonding species on the surfaces.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: August 24, 2010
    Assignee: Ziptronix, Inc.
    Inventors: Qin-Yi Tong, Gaius Gillman Fountain, Jr., Paul M. Enquist
  • Patent number: 7768018
    Abstract: The preferred embodiment provides for development and use of an array of nanowires with a period smaller then 150 nm for applications such as an optical polarizer. To manufacture such structures the preferred embodiment employs a hard nanomask. This nanomask includes a substantially periodic array of substantially parallel elongated elements having a wavelike cross-section.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: August 3, 2010
    Assignee: Wostec, Inc.
    Inventors: Valery K. Smirnov, Dmitry S. Kibalov
  • Patent number: 7737005
    Abstract: A cleaning process is performed on the surface of a nickel silicide film serving as an underlayer. Then, a Ti film is formed to have a film thickness of not less than 2 nm but less than 10 nm by CVD using a Ti compound gas. Then, the Ti film is nitrided. Then, a TiN film is formed on the Ti film thus nitrided, by CVD using a Ti compound gas and a gas containing N and H.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: June 15, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Kunihiro Tada, Kensaku Narushima, Satoshi Wakabayashi
  • Patent number: 7659148
    Abstract: A bonding method and an apparatus that enable metal bonding under the atmospheric pressure and at room temperature, wherein the surfaces of objects (1b, 2a) to be bonded together are cleaned in an initial cleaning step (S1) to remove bonding inhibitor substances (G) such as oxides and adhered substances; one (1b) of the bonding surfaces is provided with an uneven profile with a predetermined roughness in a surface roughness control step (S3); a surface treatment step (S5) is performed to remove the substances (F) that have been removed but adhered to the bonding surfaces (1b, 2a) again; and the uneven bonding surface (1b) is pressed against the other bonding surface (2a) to bond them together.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: February 9, 2010
    Assignee: Panasonic Corporation
    Inventors: Tatsuo Sasaoka, Satoshi Horie, Isamu Aokura, Yoshihiko Yagi, Kazuki Fukada
  • Publication number: 20090263953
    Abstract: A method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching. The method may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2. The surfaces to be bonded are polished to a high degree of smoothness and planarity. VSE may use reactive ion etching or wet etching to slightly etch the surfaces being bonded. The surface roughness and planarity are not degraded and may be enhanced by the VSE process. The etched surfaces may be rinsed in solutions such as ammonium hydroxide or ammonium fluoride to promote the formation of desired bonding species on the surfaces.
    Type: Application
    Filed: June 29, 2009
    Publication date: October 22, 2009
    Applicant: Ziptronix, Inc.
    Inventors: Qin-Yi Tong, Gaius Gillman Fountain, JR., Paul M. Enquist
  • Patent number: 7553744
    Abstract: A method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching. One etching process The method may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2. The surfaces to be bonded are polished to a high degree of smoothness and planarity. VSE may use reactive ion etching or wet etching to slightly etch the surfaces being bonded. The surface roughness and planarity are not degraded and may be enhanced by the VSE process. The etched surfaces may be rinsed in solutions such as ammonium hydroxide or ammonium fluoride to promote the formation of desired bonding species on the surfaces.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: June 30, 2009
    Assignee: Ziptronix, Inc.
    Inventors: Qin-Yi Tong, Gaius Gillman Fountain, Jr., Paul M. Enquist
  • Patent number: 7531437
    Abstract: A semiconductor device comprising a semiconductor body having a top surface and a first and second laterally opposite sidewalls as formed on an insulating substrate is claimed. A gate dielectric is formed on the top surface of the semiconductor body and on the first and second laterally opposite sidewalls of the semiconductor body. A gate electrode is then formed on the gate dielectric on the top surface of the semiconductor body and adjacent to the gate dielectric on the first and second laterally opposite sidewalls of the semiconductor body. The gate electrode comprises a metal film formed directly adjacent to the gate dielectric layer. A pair of source and drain regions are then formed in the semiconductor body on opposite sides of the gate electrode.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: May 12, 2009
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Brian S. Doyle, Jack Kavalieros, Mark Doczy, Uday Shah, Robert S. Chau
  • Patent number: 7485551
    Abstract: The present invention relates to a method of fabricating a semiconductor-on-insulator-type heterostructure that includes at least one insulating layer interposed between a receiver substrate of semiconductor material and an active layer derived from a donor substrate of semiconductor material. The method includes the steps of bonding and active layer transfer. Prior to bonding, an atomic species which is identical or isoelectric with the insulating layer material is implanted in the insulating layer. The implantation forms a trapping layer, which can retain gaseous species present in the various interfaces of the heterostructure, thereby limiting formation of defects on the surface of the active layer.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: February 3, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventor: Xavier Hebras
  • Patent number: 7439189
    Abstract: The invention concerns a method of treating wafers comprising at least one surface layer of silicon-germanium (SiGe) and a layer of strained silicon beneath the SiGe layer. The strained silicon layer is denuded by a step of selective etching of the SiGe layer by dispensing an etching solution onto the rotating wafer. Selective etching is then followed by a step of cleaning the surface of the strained silicon layer with an aqueous ozone solution dispensed onto the rotating wafer.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: October 21, 2008
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventor: Cécile Delattre
  • Patent number: 7402520
    Abstract: A silicon-on-insulator transfer wafer having a front surface with a circumferential lip around a circular recess is polished. In one version, the circular recess on the front surface of the wafer is masked by filling the recess with spin-on-glass. The front surface of the wafer is exposed to an etchant to preferentially etch away the circumferential lip, while the circular recess is masked by the spin-on-glass. The spin-on glass is removed, and the front surface of the transfer wafer is polished. Other methods of removing the circumferential lip include applying a higher pressure to the circumferential lip in a polishing process, and directing a pressurized fluid jet at the base of the circumferential lip.
    Type: Grant
    Filed: November 26, 2004
    Date of Patent: July 22, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Raymond John Donohoe, Krishna Vepa, Paul V. Miller, Ronald Rayandayan, Hong Wang
  • Patent number: 7387944
    Abstract: A method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching. One etching process The method may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2. The surfaces to be bonded are polished to a high degree of smoothness and planarity. VSE may use reactive ion etching or wet etching to slightly etch the surfaces being bonded. The surface roughness and planarity are not degraded and may be enhanced by the VSE process. The etched surfaces may be rinsed in solutions such as ammonium hydroxide or ammonium fluoride to promote the formation of desired bonding species on the surfaces.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: June 17, 2008
    Assignee: Ziptronix, Inc.
    Inventors: Qin-Yi Tong, Gaius Gillman Fountain, Jr., Paul M. Enquist
  • Patent number: 7374955
    Abstract: The present invention provides a method of manufacturing a silicon wafer where a defect does not exist at a wafer surface layer part on which a device is formed, without affecting productivity and production costs of the wafer. An ingot of a silicon single crystal is grown by way of Czochralski single crystal pulling method, this silicon single crystal ingot is sliced to produce a wafer, then a surface layer of the wafer is annealed for between 0.01 microseconds and 10 seconds (inclusive) by means of a laser spike annealing apparatus such that a temperature of a wafer surface layer part is between 1250° C. and 1400° C. (inclusive).
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: May 20, 2008
    Assignee: Covalent Materials Corporation
    Inventor: Koji Izumome
  • Patent number: 7371603
    Abstract: The invention relates to an LED package and proposes a method of fabricating an LED package including steps of providing a package substrate having a mounting area of an LED and a metal pattern to be connected with the LED, and plasma-treating the package substrate to reform at least a predetermined surface area of the package substrate where a resin-molded part will be formed. The method also includes mounting the LED on the mounting area on the substrate package and electrically connecting the LED with the metal pattern, and forming the resin-molded part in the mounting area of the LED to seal the LED package.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: May 13, 2008
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Yong Suk Kim, Seog Moon Choi, Hyoung Ho Kim, Yong Sik Kim
  • Patent number: 7335572
    Abstract: A method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching. The method may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2. The surfaces to be bonded are polished to a high degree of smoothness and planarity. VSE may use reactive ion etching or wet etching to slightly etch the surfaces being bonded. The surface roughness and planarity are not degraded and may be enhanced by the VSE process. The etched surfaces may be rinsed in solutions such as ammonium hydroxide or ammonium fluoride to promote the formation of desired bonding species on the surfaces.
    Type: Grant
    Filed: January 23, 2004
    Date of Patent: February 26, 2008
    Assignee: Ziptronix, Inc.
    Inventors: Qin-Yi Tong, Gaius Gillman Fountain, Jr., Paul M. Enquist
  • Patent number: 7326656
    Abstract: A semiconductor device comprising a semiconductor body having a top surface and a first and second laterally opposite sidewalls as formed on an insulating substrate is claimed. A gate dielectric is formed on the top surface of the semiconductor body and on the first and second laterally opposite sidewalls of the semiconductor body. A gate electrode is then formed on the gate dielectric on the top surface of the semiconductor body and adjacent to the gate dielectric on the first and second laterally opposite sidewalls of the semiconductor body. The gate electrode comprises a metal film formed directly adjacent to the gate dielectric layer. A pair of source and drain regions are then formed in the semiconductor body on opposite sides of the gate electrode.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: February 5, 2008
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Brian S. Doyle, Jack Kavalleros, Mark Doczy, Uday Shah, Robert S. Chau
  • Patent number: 7288418
    Abstract: A process for treating substrates for the microelectronics or optoelectronics industry, wherein the substrates include on at least one of their faces a working layer in which components are intended to be formed. The process includes a step of annealing under a reductive atmosphere followed by a step of chemical-mechanical polishing on the free surface of the working layer.
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: October 30, 2007
    Assignee: S.O.O.Tec Silicon on Insulator Technologies
    Inventors: Thierry Barge, André Auberton-Herve, Hiroji Aga, Naoto Tate
  • Patent number: 7190050
    Abstract: By forming MOSFETs on a substrate having pre-existing ridges of semiconductor material (i.e., a “corrugated substrate”), the resolution limitations associated with conventional semiconductor manufacturing processes can be overcome, and high-performance, low-power transistors can be reliably and repeatably produced. Forming a corrugated substrate prior to actual device formation allows the ridges on the corrugated substrate to be created using high precision techniques that are not ordinarily suitable for device production. MOSFETs that subsequently incorporate the high-precision ridges into their channel regions will typically exhibit much more precise and less variable performance than similar MOSFETs formed using optical lithography-based techniques that cannot provide the same degree of patterning accuracy. Additional performance enhancement techniques such as pulse-shaped doping and “wrapped” gates can be used in conjunction with the segmented channel regions to further enhance device performance.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: March 13, 2007
    Assignee: Synopsys, Inc.
    Inventors: Tsu-Jae King, Victor Moroz
  • Patent number: 7166505
    Abstract: A method for making a semiconductor device is described. That method includes forming on a substrate a dielectric layer that has a dielectric constant that is greater than the dielectric constant of silicon dioxide. The dielectric layer is modified so that it will be compatible with a gate electrode to be formed on the dielectric layer, and then a gate electrode is formed on the dielectric layer.
    Type: Grant
    Filed: September 16, 2004
    Date of Patent: January 23, 2007
    Assignee: Intel Corporation
    Inventors: Robert Chau, Reza Arghavani, Mark Doczy
  • Patent number: 7112545
    Abstract: The surface of a semiconductor material, e.g., gallium arsenide, is passivated by irradiating the surface with ultra-short laser pulses, until a stable passive surface is achieved. The passive surface so prepared is devoid of a superficial oxide layer.
    Type: Grant
    Filed: September 11, 2000
    Date of Patent: September 26, 2006
    Assignee: The Board of Trustees of the University of Arkansas
    Inventors: Tarak A. Railkar, Ajay P. Malshe, William D. Brown
  • Patent number: 7071077
    Abstract: A method for preparing a bonding surface of a semiconductor layer of a wafer is described. The method includes treating the bonding surface to oxidize contaminants, and then cleaning the bonding surface to remove essentially all remaining contaminants. Ozone is then used to oxidize the bonding surface to improve the hydrophilic properties of the bonding surface. In an implementation, two wafers are prepared and then bonded together to form a structure.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: July 4, 2006
    Assignee: S.O.I.Tec Silicon on Insulator Technologies S.A.
    Inventors: Christophe Maleville, Corinne Maunand Tussot
  • Patent number: 7057259
    Abstract: A semiconductor wafer has a bevel contour formed along the periphery thereof, products formed on the wafer, and an ID mark formed on the bevel contour. The ID mark shows at least the properties, manufacturing conditions, and test results of the products.
    Type: Grant
    Filed: March 20, 2002
    Date of Patent: June 6, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsunetoshi Arikado, Masao Iwase, Soichi Nadahara, Yuso Udo, Yukihiro Ushiku, Shinichi Nitta, Moriya Miyashita, Junji Sugamoto, Hiroaki Yamada, Hajime Nagano, Katsujiro Tanzawa, Hiroshi Matsushita, Norihiko Tsuchiya, Katsuya Okumura
  • Patent number: RE40139
    Abstract: A wafer having chamfered bent portions in the joint regions between the contour of the wafer and the cut-away portion of the wafer such as an orientation flatness. The chipping of the wafer can be prevented, and in coating the wafer with a photoresist, forming an epiaxially grown layer on the wafer, etc., films having desired characteristics can be provided on the surface of the wafer.
    Type: Grant
    Filed: November 3, 2000
    Date of Patent: March 4, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Hisashi Maejima, Hiroshi Nishizuka, Susumu Komoriya, Etuo Egashira