Substrate Or Mask Aligning Feature Patents (Class 438/975)
  • Patent number: 7989967
    Abstract: As part of a first configured laser operation, a smooth, more reflective marking area is formed at a surface of a substrate (e.g., integral heat spreader, or IHS). In a second configured laser operation, a mark is formed at the surface of the substrate within the marking area. The mark contrasts strongly with the reflective surface of the substrate in the marking area. As a result, the mark may be read with an optoelectronic imaging system with a higher rate of reliability than marks disposed at a substrate surface having a microtopographical profile with greater variation from a nominal surface plane. An IHS with a mark so disposed provides benefits when include as a portion of an integrated circuit package, which in turn provides benefits when included as a portion of an electronic system.
    Type: Grant
    Filed: July 9, 2009
    Date of Patent: August 2, 2011
    Assignee: Intel Corporation
    Inventor: Lee Kim Loon
  • Patent number: 7989968
    Abstract: A method, structure, system of aligning a substrate to a photomask. The method comprising: directing light through a clear region of the photomask in a photolithography tool, through a lens of the tool and onto a set of at least three diffraction mirror arrays on the substrate, each diffraction mirror array of the set of at least three diffraction mirror arrays comprising a single row of mirrors, all mirrors in any particular diffraction mirror array spaced apart a same distance, mirrors in different diffraction mirror arrays spaced apart different distances; measuring an intensity of light diffracted from the set of at least three diffraction mirror arrays onto an array of photo detectors; and adjusting a temperature of the photomask or photomask and lens based on the measured intensity of light.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: August 2, 2011
    Assignee: International Business Machines Corporation
    Inventors: Axel A. Granados, Benjamin A. Fox, Nathaniel J. Gibbs, Andrew B. Maki, Trevor J. Timpane
  • Patent number: 7960244
    Abstract: A process for manufacturing an electronic semiconductor device, wherein a SOI wafer is provided, formed by a bottom layer of semiconductor material, an insulating layer, and a top layer of semiconductor material, stacked on top of one another; alignment marks are formed in the top layer; an implanted buried region is formed, aligned to the alignment marks; a hard mask is formed on top of the top layer so as to align it to the alignment marks; using the hard mask, the top layer is selectively removed so as to form a trench extending up to the insulating layer; there a lateral-insulation region in the trench, that is contiguous to the insulating layer and delimits with the latter an insulated well of semiconductor material; and electronic components are formed in the top layer.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: June 14, 2011
    Assignee: STMicroelectronics, S.r.l.
    Inventors: Salvatore Leonardi, Roberto Modica
  • Patent number: 7955946
    Abstract: The invention includes methods of determining x-y spatial orientation of a semiconductor substrate comprising an integrated circuit, methods of positioning a semiconductor substrate comprising an integrated circuit, methods of processing a semiconductor substrate, and semiconductor devices. In one implementation, a method of determining x-y spatial orientation of a semiconductor substrate comprising an integrated circuit includes providing a semiconductor substrate comprising at least one integrated circuit die. The semiconductor substrate comprises a circuit side, a backside, and a plurality of conductive vias extending from the circuit side to the backside. The plurality of conductive vias on the semiconductor substrate backside is examined to determine location of portions of at least two of the plurality of conductive vias on the semiconductor substrate backside. From the determined location, x-y spatial orientation of the semiconductor substrate is determined.
    Type: Grant
    Filed: May 22, 2006
    Date of Patent: June 7, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Dave Pratt, Kyle Kirby, Steve Oliver, Mark Hiatt
  • Patent number: 7943478
    Abstract: In a semiconductor device manufacturing method, a surface of a substrate structure including a semiconductor layer is covered with a first film including first and second openings. The first opening is configured as an alignment mark. The second opening is configured as an opening for introducing an impurity into a first predetermined position of the semiconductor layer. In this method, a third opening is formed in the first film, using a photo mask aligned with the first opening used as an alignment mark. The third opening is configured as an opening for introducing an impurity into a second predetermined position of the semiconductor layer.
    Type: Grant
    Filed: August 14, 2009
    Date of Patent: May 17, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Haruhiko Koyama, Mitsuhiro Noguchi, Minori Kajimoto
  • Patent number: 7915141
    Abstract: The generation of an identification number of a chip supporting at least one integrated circuit, including the step of causing a cutting of at least one conductive section by cutting of the chip among several first conductive sections parallel to one another and perpendicular to at least one edge of the chip, the first sections being individually connected, by at least one of their ends, to the chip, and exhibiting different lengths, the position of the cutting line with respect to the chip edge conditioning the identification number.
    Type: Grant
    Filed: September 15, 2009
    Date of Patent: March 29, 2011
    Assignee: STMicroelectronics, SA
    Inventor: Fabrice Marinet
  • Patent number: 7883985
    Abstract: The chip for the multi-chip semiconductor device having the markings for alignment formed on the front surface and/or the back surface of the chip only by the processing from the front surface of the chip (photolithography, etch) and the method for manufacturing same are presented, without adding any dedicated process step to the formation process for the marking for alignment. In the chip for the multi-chip semiconductor device having two or more electroconductive through plug in one chip for the multi-chip semiconductor device, one or more electroconductive through plugs are employed for the marking for alignment, and the chip is configured to allow identification of the marking for alignment on the front surface and/or the back surface of the chip for the multi-chip semiconductor device. Then, an insulating film is provided on the front surface and/or the back surface of the electrically conducting through plug.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: February 8, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Satoshi Matsui
  • Patent number: 7879515
    Abstract: A method of determining positioning error between lithographically produced integrated circuit patterns on at least two different lithographic levels of a semiconductor wafer comprising. The method includes exposing, developing and etching one or more lithographic levels to create one or more groups of marks comprising a target at one or more wafer locations. The method then includes exposing and developing a subsequent group of marks within the target on a subsequent lithographic level. The method then comprises measuring the position of the marks on each level with respect to a common reference point, and using the measured positions of the groups of marks to determine the relative positioning error between one or more pairs of the developed and etched lithographic levels on which the marks are located.
    Type: Grant
    Filed: January 21, 2008
    Date of Patent: February 1, 2011
    Assignee: International Business Machines Corporation
    Inventors: Christopher P. Ausschnitt, William A. Muth
  • Patent number: 7871908
    Abstract: The method of manufacturing a semiconductor device comprising: forming a first hard mask layer and a second hard mask layer on the layer to be etched (S11); a first groove-forming mask pattern forming process for forming a groove-forming mask pattern which has a first pitch, is formed of the second hard mask layer, and is used as an etching mask when forming groove patterns(S12-S14); and a first concave portion-forming mask pattern forming process for etching the first hard mask layer using the second resist pattern as an etching mask, wherein the second resist pattern is formed of the second resist layer having an opening portion that has a fourth pitch and the first organic layer having an opening portion that is connected to an opening portion of the second resist layer and has a smaller size than the opening portion of the second resist layer (S15-S18).
    Type: Grant
    Filed: March 20, 2009
    Date of Patent: January 18, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Yatsuda, Eiichi Nishimura
  • Patent number: 7862859
    Abstract: A method of correcting for pattern run out in a desired pattern in directional deposition or etching comprising the steps of providing a test substrate; providing a stencil of known thickness on the test substrate; providing a stencil pattern extending through the stencil to the test substrate.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: January 4, 2011
    Assignee: RFMD (UK) Limited
    Inventor: Jason McMonagle
  • Patent number: 7833829
    Abstract: A Micro ElectroMechanical Systems device according to an embodiment of the present invention is formed by dicing a MEMS wafer and attaching individual MEMS dies to a substrate. The MEMS die includes a MEMS component attached to a glass layer, which is attached to a patterned metallic layer, which in turn is attached to a number of bumps. Specifically, the MEMS component on the glass layer is aligned to one or more bumps using windows that are selectively created or formed in the metallic layer. One or more reference features are located on or in the glass layer and are optically detectable. The reference features may be seen from the front surface of the glass layer and used to align the MEMS components and may be seen through the windows and used to align the bumps. As an end result, the MEMS component may be precisely aligned with the bumps via optical detection of the reference features in the glass layer.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: November 16, 2010
    Assignee: Honeywell International Inc.
    Inventors: Mark Eskridge, Galen Magendanz
  • Patent number: 7825001
    Abstract: An electronic device is formed by epitaxially growing a Si substrate on a Si layer of an SOI substrate in which the Si layer is deposited on a front surface of a substrate with an insulating layer interposed therebetween; forming an element on a front-surface side of the Si substrate; and forming a back-surface element aligned with respect to the element, on a back-surface side of the Si substrate after the substrate is etched. A mark is formed by etching and removing the Si layer and the insulating layer in a predetermined position of the SOI substrate. The element is formed using a concave part as a reference position. The concave part appears on the front surface of the Si substrate epitaxially grown on the mark. The back-surface element is formed using the mark as a reference position. The mark appears after the substrate is etched.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: November 2, 2010
    Assignee: Fujifilm Corporation
    Inventor: Shinji Uya
  • Patent number: 7825000
    Abstract: A magnetic memory device including a Magnetic Tunnel Junction (MTJ) device comprises a substrate and Front End of Line (FEOL) circuitry. A Via level (VA) InterLayer Dielectric (ILD) layer, a bottom conductor layer, and an MTJ device formed over the top surface of the VA ILD layer are formed over a portion of the substrate. An alignment region including alignment marks extends through the bottom conductor layer and extends down into the device below the top surface of the VA ILD layers is juxtaposed with the MJT device.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: November 2, 2010
    Assignee: International Business Machines Corporation
    Inventors: Sivananda K. Kanakasabapathy, Solomon Assefa
  • Patent number: 7807544
    Abstract: Large-area ICs (e.g., silicon wafer-based solar cells) are produced by positioning a mask between an extrusion head and the IC wafer during extrusion of a dopant bearing material or metal gridline material. The mask includes first and second peripheral portions that are positioned over corresponding peripheral areas of the wafer, and a central opening that exposes a central active area of the wafer. The extrusion head is then moved relative to the wafer, and the extrusion material is continuously extruded through outlet orifices of the extrusion head to form elongated extruded structures on the active area of the wafer. The mask prevents deposition of the extrusion material along the peripheral edges of the wafer, and facilitates the formation of unbroken extrusion structures. The mask may be provided with a non-rectangular opening to facilitate the formation of non-rectangular (e.g., circular) two-dimensional extrusion patterns.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: October 5, 2010
    Assignee: Palo Alto Research Center Incorporated
    Inventor: Craig Eldershaw
  • Patent number: 7785981
    Abstract: A solid state imaging device having a back-illuminated type structure in which a lens is formed on the back side of a silicon layer with a light-receiving sensor portion being formed thereon. Insulating layers are buried into the silicon layer around an image pickup region, with the insulating layer being buried around a contact layer that connects an electrode layer of a pad portion and an interconnection layer of the surface side. A method of manufacturing such a solid-state imaging device is also provided.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: August 31, 2010
    Assignee: Sony Corporation
    Inventors: Yuichi Yamamoto, Hayato Iwamoto
  • Patent number: 7759808
    Abstract: The present invention includes a first recognition mark which is arranged in a frame part of a perimeter of an implementation region having a plurality of semiconductor chips implemented therein so that the position of the semiconductor substrate can be macroscopically detected by using a recognition camera, and a second recognition mark which is formed into a smaller shape than the first recognition mark so that the position of the dividing line can be microscopically detected by using a recognition camera. The second recognition mark is arranged so that its center line is positioned on a line that extends from a dicing line, and has a pattern shape which is formed so as to be linearly symmetric with respect to the center line. This pattern shape is formed so that the ratio of a length occupying a direction parallel to the dicing line is larger than that occupying a direction perpendicular to the dicing line, and includes a flow region for promoting the flow of an etchant for forming the pattern shape.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: July 20, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Osamu Kindo
  • Patent number: 7745301
    Abstract: Self-alignment structures, such as micro-balls and V-grooves, may be formed on chips made by different processes. The self-alignment structures may be aligned to mask layers within an accuracy of one-half the smallest feature size inside a chip. For example, the alignment structures can align an array of pads having a pitch of 0.6 microns, compared to a pitch of 100 microns available with today's Ball Grid Array (BGA) technology. As a result, circuits in the mated chips can communicate via the pads with the same speed or clock frequency as if in a single chip. For example, clock rates between interconnected chips can be increased from 100 MHz to 4 GHz due to low capacitance of the interconnected pads. Because high-density arrays of pads can interconnect chips, chips can be made smaller, thereby reducing cost of chips by order(s) of magnitude.
    Type: Grant
    Filed: August 21, 2006
    Date of Patent: June 29, 2010
    Assignee: Terapede, LLC
    Inventor: Madhukar B. Vora
  • Patent number: 7737567
    Abstract: A semiconductor substrate is provided. The substrate includes a first surface and an opposing second surface, wherein the first surface includes a marking in a centroid region of the first surface. The marking indicates a location of a center point on the first surface of the semiconductor substrate or identification data unique to the substrate. A system, methods of transporting and marking, and a device for reading the substrate markings are also provided.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: June 15, 2010
    Assignee: Crossing Automation, Inc.
    Inventors: Anthony C. Bonora, Raymond S. Martin, Michael Krolak
  • Patent number: 7727852
    Abstract: The invention relates to a substrate with a check mark and a method of inspecting position accuracy of conductive glue dispensed on the substrate. The method is implemented on the substrate having at least one transfer pad and at least one check mark arranged near the border of the transfer pad. After the conductive glue spot is dispensed on the transfer pad, the method includes first capturing an image by a video capturing element, then determining whether the conductive glue spot exist in the image and determining whether the conductive glue spot from the image matches a predetermined standard, if not, generating a report and a warning.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: June 1, 2010
    Assignee: AU Optronics Corporation
    Inventor: San-Chi Wang
  • Patent number: 7718504
    Abstract: Disclosed is a semiconductor device having an align key and a method of fabricating the same. The semiconductor device includes a semiconductor substrate having a cell area and an align key area. An isolation layer that defines a cell active area is disposed in the cell area of the semiconductor substrate. A cell charge storage layer pattern is disposed across the cell active area. An align charge storage layer pattern is disposed in the align key area of the semiconductor substrate. An align trench self-aligned with the align charge storage layer pattern is formed in the align key area of the semiconductor substrate.
    Type: Grant
    Filed: September 27, 2006
    Date of Patent: May 18, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Su Kim, In-Wook Cho, Myeong-Cheol Kim, Sung-Woo Lee, Jin-Hee Kim, Doo-Youl Lee, Sung-Ho Kim
  • Patent number: 7700383
    Abstract: A manufacturing method for a semiconductor device comprises: mounting a semiconductor element, having an alignment mark, on a substrate; forming a composite of metal film and insulating film such that the surface of the semiconductor element is covered therewith; and removing a part of the composite of metal film and insulating film so as to expose the alignment mark. The position of each electrode of the semiconductor element mounted on the substrate is determined based upon detection results obtained by detection of the exposed alignment mark.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: April 20, 2010
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Ryosuke Usui, Yasunori Inoue
  • Patent number: 7666559
    Abstract: An enhanced technique for determination of an alignment accuracy involves an overlay target assembly which comprises at least two targets, each target having a first sub-structure of a first layer and a second sub-structure of a second layer, wherein, when the first layer and the second layer are correctly aligned, the first sub-structure and the second sub-structure of at least one of the targets are offset with respect to each other by a programmed offset and the overlay target assembly is invariant to at least one geometric transformation. If the offset vectors which describe the offset between the first sub-structure and the second sub-structure all have the same norm, the overlay error may be determined without calibration. Redundancy may be increased by providing each target with two or more programmed offsets between elements of the first sub-structure and elements of the second sub-structure.
    Type: Grant
    Filed: April 11, 2008
    Date of Patent: February 23, 2010
    Assignee: GlobalFoundries, Inc.
    Inventor: Bernd Schulz
  • Patent number: 7646105
    Abstract: A integrated circuit package system includes: forming a package substrate with a top substrate side and a bottom substrate side; forming a corner contact in a first corner of the bottom substrate side, the corner contact extending to a substrate edge of the package substrate; mounting an integrated circuit device over the top substrate side; connecting an electrical interconnect between the integrated circuit device and the top substrate side; and forming a package encapsulation over the top substrate side, the integrated circuit device, and the electrical interconnect.
    Type: Grant
    Filed: November 16, 2007
    Date of Patent: January 12, 2010
    Assignee: Stats Chippac Ltd.
    Inventors: Seng Guan Chow, Tae Hoan Jang
  • Patent number: 7629259
    Abstract: A method for aligning a reticle is provided. A first patterned layer with a first alignment grid is formed. Sidewall layers are formed over the first patterned layer to perform a first shrink. The first alignment grid after shrink is etched into an etch layer to form an etched first alignment grid. The patterned layer is removed. An optical pattern of a second alignment grid aligned over the etched first alignment grid is measured. The optical pattern is used to determine whether the second alignment grid is aligned over the etched first alignment grid.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: December 8, 2009
    Assignee: Lam Research Corporation
    Inventor: S. M. Reza Sadjadi
  • Patent number: 7629223
    Abstract: A method for manufacturing a semiconductor device includes forming a plurality of trenches for element isolation and a plurality of trenches for alignment mark on a substrate. The substrate has an active region. The method also includes laminating an oxide film on the substrate and over both of the trenches. The method also includes etching the oxide film using a resist mask that masks the element isolation trenches, so that the oxide film laminated in the active region and the oxide film laminated in the alignment mark trenches are removed. The method also includes polishing a surface of the substrate to planarize or smooth the surface of the substrate. Accordingly, those portions of the oxide film which project from the substrate surface are eliminated and the oxide film remains only inside the element isolation trenches. This divides the active region into a plurality of individual active regions for the respective semiconductor elements.
    Type: Grant
    Filed: November 20, 2008
    Date of Patent: December 8, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventors: Tadashi Narita, Katsuo Oshima
  • Patent number: 7621683
    Abstract: A compact camera module (CCM) substantially includes a substrate, a sensor chip and a lens module. The substrate has a surface defining a chip-attached area for attaching the sensor chip and a module-secured area for mounting the lens module. A check point is defined in the chip attached area. The module-secured area surrounds the chip-attached area. The substrate includes a plurality of connecting pads and a plurality of check bars disposed outside the chip-attached area, wherein the perpendicular bisectors of the check bars intersect with each other at a point aligning with the check point. Accordingly, a self-check step can be performed to check the center of the sensor area of the sensor chip in accordance with the intersection point after a chip is attached.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: November 24, 2009
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventors: She-Hong Cheng, Kuo-Hua Chen
  • Patent number: 7618832
    Abstract: A semiconductor substrate having a reference semiconductor chip and a method of assembling semiconductor chips using the same are provided. According to the method, a semiconductor substrate having a plurality of semiconductor chips is provided. An identification mark is made on a reference semiconductor chip among the semiconductor chips. The semiconductor substrate is aligned with reference to the reference semiconductor chip, so that an electrical die sorting test can be performed on the semiconductor chips on the semiconductor substrate.
    Type: Grant
    Filed: January 20, 2006
    Date of Patent: November 17, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-moon Lee, Young-bu Kim, Jung-hye Kim
  • Patent number: 7611961
    Abstract: A manufacturing method of a semiconductor wafer includes forming a plurality of alignment trenches in the wafer substrate. A dielectric layer is formed over the substrate filling the trenches. A planarization process is performed to remove the dielectric layer above the substrate. A photolithograph process is subsequently performed to selectively remove the dielectric layer formed in the trenches in the alignment area.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: November 3, 2009
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Chin-Cheng Yang
  • Patent number: 7601617
    Abstract: The present invention provides a semiconductor wafer comprising an insulated board of sapphire or the like having translucency, which is provided with a positioning orientation flat at a peripheral portion thereof, and a silicon thin film formed over the entire one surface of the insulated board. In the semiconductor wafer, ions are implanted in an area containing the orientation flat at a peripheral portion of the silicon thin film to amorphize silicon. Thus, the translucency at the amorphized spot is eliminated and accurate positioning using the conventional optical sensor can be performed.
    Type: Grant
    Filed: April 11, 2007
    Date of Patent: October 13, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Hiroaki Uchida
  • Patent number: 7598024
    Abstract: A method for alignment mark preservation includes a step of preparing a lower alignment mark structure on a substrate. In one configuration of the invention, the alignment mark structure includes a lower trench. In a further step, a hard mask coating is applied to a substrate that includes the alignment marks. Preferably, the hard mask material is an amorphous carbon material. In a further step, a selected portion of the hard mask located above the lower alignment mark structure is exposed to a dose of radiation. In one aspect of the invention, the surface of regions of the hard mask coating that receive the dose of radiation become elevated with respect to other regions of the hard mask surface. For those elevated regions of the hard mask that are aligned with an underlying alignment mark trench, the elevated regions serve as an alignment mark that preserves the original horizontal position of the underlying alignment mark.
    Type: Grant
    Filed: March 8, 2006
    Date of Patent: October 6, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Sanjaysingh Lalbahadoersing, Sami Musa
  • Patent number: 7585742
    Abstract: In a semiconductor device manufacturing method, a surface of a substrate structure including a semiconductor layer is covered with a first film including first and second openings. The first opening is configured as an alignment mark. The second opening is configured as an opening for introducing an impurity into a first predetermined position of the semiconductor layer. In this method, a third opening is formed in the first film, using a photo mask aligned with the first opening used as an alignment mark. The third opening is configured as an opening for introducing an impurity into a second predetermined position of the semiconductor layer.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: September 8, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Haruhiko Koyama, Mitsuhiro Noguchi, Minori Kajimoto
  • Patent number: 7585708
    Abstract: A thin-film transistor is formed on a transparent substrate and has a gate electrode film layer and a source and drain regions, and further has an alignment mark made of one and the same constituent material as a constituent material of at least one of the gate electrode film layer and source and drain regions and formed at one and the same position as the gate electrode film layer or source and drain region.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: September 8, 2009
    Assignee: NEC Corporation
    Inventors: Yoshinobu Satou, Katsuhisa Yuda, Hiroshi Tanabe
  • Patent number: 7573052
    Abstract: A pattern image generation device generates a pattern image, and at least a part of the pattern image which has been generated or the pattern image which is generated and is formed on an object is photoelectrically detected by a detection system. Then, a correction device corrects design data that should be input to the pattern image generation device based on the detection results. Accordingly, a pattern image is generated on an object by the pattern image generation device corresponding to the input of the design data after the correction, and because the object is exposed using the pattern image, a desired pattern is formed on the object with good precision.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: August 11, 2009
    Assignee: Nikon Corporation
    Inventors: Hideya Inoue, Tohru Kiuchi
  • Patent number: 7550379
    Abstract: In a method to produce an alignment mark, an oxide layer and sacrificial layer are processed to comprise recesses. The recesses are filled with a filler material. During filling the recesses, a layer of filler material is formed on the sacrificial layer. The layer of filler material is removed by chemical mechanical polishing. The sacrificial layer protects the oxide layer during filling the recesses and removing the layer of filler material. The sacrificial layer is then removed by etching. This provides an unscratched oxide layer with protrusions. The oxide layer with protrusions is covered with a conducting layer whereby the protrusions punch through the oxide layer to form related protrusions. The related protrusions form an alignment mark.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: June 23, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Johannes Franciscus Van Haren, Everhardus Cornelis Mos
  • Patent number: 7547608
    Abstract: A method is provided for forming a polysilicon layer on a substrate and aligning an exposure system with an alignment feature of the substrate through the polysilicon layer. In such method, a polysilicon layer is deposited over the substrate having the alignment feature such that the polysilicon layer reaches a first temperature. The polysilicon layer is then annealed with the substrate to raise the polysilicon layer to a second temperature higher than the first temperature. A photoimageable layer is then deposited over the polysilicon layer, after which an alignment signal including light from the alignment feature is received through the annealed polysilicon layer. Using the alignment signal passing through the annealed polysilicon layer from the alignment feature, an exposure system is aligned with the substrate with improved results.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: June 16, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Johnathan E. Faltermeier, James P. Norum
  • Patent number: 7547648
    Abstract: This invention concerns the fabrication of nanoscale and atomic scale devices. The method involves creating one or more registration markers. Using a SEM or optical microscope to form an image of the registration markers and the tip of a scanning tunnelling microscope (STM). Using the image to position and reposition the STM tip to pattern the device structure. Forming the active region of the device and then encapsulating it such that one or more of the registration markers are still visible to allow correct positioning of surface electrodes. The method can be used to form any number of device structures including quantum wires, single electron transistors, arrays or gate regions. The method can also be used to produce 3D devices by patterning subsequent layers with the STM and encapsulating in between.
    Type: Grant
    Filed: August 20, 2004
    Date of Patent: June 16, 2009
    Assignee: Qucor Pty Ltd
    Inventors: Frank J. Ruess, Lars Oberbeck, Michelle Yvonne Simmons, K. E. Johnson Goh, Alexander Rudolf Hamilton, Mladen Mitic, Rolf Brenner, Neil Jonathan Curson, Toby Hallam
  • Patent number: 7541201
    Abstract: Disclosed are overlay targets having flexible symmetry characteristics and metrology techniques for measuring the overlay error between two or more successive layers of such targets. In one embodiment, a target includes structures for measuring overlay error (or a shift) in both the x and y direction, wherein the x structures have a different center of symmetry (COS) than the y structures. In another embodiment, one of the x and y structures is invariant with a 180° rotation and the other one of the x and y structures has a mirror symmetry. In one aspect, the x and y structures together are variant with a 180° rotation. In yet another example, a target for measuring overlay in the x and/or y direction includes structures on a first layer having a 180 symmetry and structures on a second layer having mirror symmetry.
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: June 2, 2009
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Mark Ghinovker
  • Patent number: 7508084
    Abstract: A method for forming an image sensor device. An alignment mark is formed on or in a substrate with distance from the alignment mark to the substrate edge less than about 3 mm. An array of active photosensing pixels is formed on the substrate. At least one dielectric layer is formed covering the substrate and the array. A color filter photoresist is formed on the least one dielectric layer. Subsequent to removal of the color filter photoresist from the alignment mark, the color filter photoresist is exposed with alignment to the alignment mark.
    Type: Grant
    Filed: October 19, 2005
    Date of Patent: March 24, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hung-Jen Hsu, Fu-Tien Wong
  • Patent number: 7507633
    Abstract: A method for implementing alignment of a semiconductor device structure includes forming first and second sets of alignment marks within a lower level of the structure, the second set of alignment marks adjacent the first set of alignment marks. An opaque layer is formed over the lower level, including the first and second sets of alignment marks. A portion of the opaque layer corresponding to the location of said first set of alignment marks is opened so as to render the first set optically visible while the second set of alignment marks initially remains covered by the opaque layer. The opaque layer is patterned using the optically visible first set of alignment marks, wherein the second set of alignment marks remain available for subsequent alignment operations in the event the first set becomes damaged during patterning of the opaque layer.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: March 24, 2009
    Assignee: International Business Machines Corproation
    Inventors: Sivananda K. Kanakasabapathy, David W. Abraham
  • Patent number: 7501327
    Abstract: Disclosed is a method for manufacturing a semiconductor optical device for flip-chip bonding. The method includes the steps of: etching an active layer and clad which are sequentially stacked on a semiconductor substrate into first and second alignment keys and an optical area, which has a mesa structure; growing at least two insulating layers at mesa-etched portions between the first and second alignment keys and the optical areas; and forming protection masks on the first and second alignment keys, growing an electrode on the optical area and the insulating layer except for the protection masks, and removing the protection masks.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: March 10, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Hyun Kim, In Kim, Yu-Dong Bae, Young-Churl Bang
  • Patent number: 7494825
    Abstract: According to an example embodiment, a semiconductor device includes a lower electrode (316) disposed on an oxide layer (302), an upper electrode (320) disposed on the lower electrode, a dielectric pattern (322) disposed on the oxide layer and surrounding the upper electrode, the upper electrode protruding above an upper surface of the dielectric pattern, and a contact pattern (328) that is contiguous with the upper electrode and the dielectric pattern.
    Type: Grant
    Filed: January 3, 2007
    Date of Patent: February 24, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Brian R. Butcher, Kerry J. Nagel, Kenneth H. Smith
  • Patent number: 7482703
    Abstract: A semiconductor device includes a pad electrode layer and an align mark layer, formed on the semiconductor substrate. A passivation layer is formed on the semiconductor substrate and exposes at least a portion of the top of the pad electrode layer and at least a portion of the top of the align mark layer. A light-transmitting protecting layer covers at least a portion of the passivation layer, exposes the top portion of the pad electrode layer exposed from the passivation layer, and covers the portion of the align mark layer exposed from the passivation layer.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: January 27, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ho-Ik Hwang, Soo-Cheol Lee
  • Patent number: 7455956
    Abstract: Alignment tolerances between narrow mask lines, for forming interconnects in the array region of an integrated circuit, and wider mask lines, for forming interconnects in the periphery of the integrated circuit, are increased. The narrow mask lines are formed by pitch multiplication and the wider mask lines are formed by photolithography. The wider mask lines and are aligned so that one side of those lines is flush with or inset from a corresponding side of the narrow lines. Being wider, the opposite sides of the wider mask lines protrude beyond the corresponding opposite sides of the narrow mask lines. The wider mask lines are formed in negative photoresist having a height less than the height of the narrow mask lines. Advantageously, the narrow mask lines can prevent expansion of the mask lines in one direction, thus increasing alignment tolerances in that direction.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: November 25, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S Sandhu, Randal W Chance, William T Rericha
  • Patent number: 7435536
    Abstract: Alignment tolerances between narrow mask lines, for forming interconnects in the array region of an integrated circuit, and wider mask lines, for forming interconnects in the periphery of the integrated circuit, are increased. The narrow mask lines are formed by pitch multiplication and the wider mask lines are formed by photolithography. The wider mask lines and are aligned so that one side of those lines is flush with or inset from a corresponding side of the narrow lines. Being wider, the opposite sides of the wider mask lines protrude beyond the corresponding opposite sides of the narrow mask lines. The wider mask lines are formed in negative photoresist having a height less than the height of the narrow mask lines. Advantageously, the narrow mask lines can prevent expansion of the mask lines in one direction, thus increasing alignment tolerances in that direction.
    Type: Grant
    Filed: June 20, 2006
    Date of Patent: October 14, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Randal W. Chance, William T. Rericha
  • Patent number: 7435609
    Abstract: There is disclosed a manufacturing method for exposure mask, which comprises acquiring a first information showing surface shape of surface of each of a plurality of mask substrates, and a second information showing the flatness of the surface of each of mask substrates before and after chucked on a mask stage of an exposure apparatus, forming a corresponding relation of each mask substrate, the first information and the second information, selecting the second information showing a desired flatness among the second information of the corresponding relation, and preparing another mask substrate having the same surface shape as the surface shape indicated by the first information in the corresponding relation with the selected second information, and forming a desired pattern on the above-mentioned another mask substrate.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: October 14, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masamitsu Itoh
  • Patent number: 7419882
    Abstract: A small-size (w<0.5 micrometers) alignment mark in combination with a “k1 process” is proposed, which is particularly suited for the fabrication of trench-capacitor DRAM devices which requires highly accurate AA-DT alignment. The “k1 process” is utilized to etch away polysilicon studded in the alignment mark trenches and refresh the trench profile prior to AA pattern transferring, thereby improving wafer alignment accuracy and precision.
    Type: Grant
    Filed: July 5, 2005
    Date of Patent: September 2, 2008
    Assignee: Nanya Technology Corp.
    Inventors: Yuan-Hsun Wu, An-Hsiung Liu, Chiang-Lin Shih, Pei-Ing Lee, Hui-Min Mao, Lin-Chin Su
  • Patent number: 7414787
    Abstract: A phase contrast x-ray microscope has a phase plate that is placed in proximity of and attached rigidly to the objective to form a composite optic. This enables easier initial and long-term maintenance of alignment of the microscope. In one example, they are fabricated on the same high-transmissive substrate. The use of this composite optic allows for lithographic-based alignment that will not change over the lifetime of the instrument. Also, in one configuration, the phase plate is located between the test object and the objective.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: August 19, 2008
    Assignee: Xradia, Inc.
    Inventors: Wenbing Yun, Yuxin Wang
  • Patent number: 7365909
    Abstract: Methods for fabricating refractive element(s) and aligning the elements in a compound optic, typically to a zone plate element. The techniques are used for fabricating micro refractive, such as Fresnel, optics and compound optics including two or more optical elements for short wavelength radiation. One application is the fabrication of the Achromatic Fresnel Optic (AFO). Techniques for fabricating the refractive element generally include: 1) ultra-high precision mechanical machining, e.g,. diamond turning; 2) lithographic techniques including gray-scale lithography and multi-step lithographic processes; 3) high-energy beam machining, such as electron-beam, focused ion beam, laser, and plasma-beam machining; and 4) photo-induced chemical etching techniques. Also addressed are methods of aligning the two optical elements during fabrication and methods of maintaining the alignment during subsequent operation.
    Type: Grant
    Filed: October 17, 2003
    Date of Patent: April 29, 2008
    Assignee: Xradia, Inc.
    Inventors: Wenbing Yun, Yuxin Wang, Michael Feser, Alan Lyon
  • Patent number: 7355291
    Abstract: An overlay mark for determining the relative position between two or more successive layers of a substrate or between two or more separately generated patterns on a single layer of a substrate is disclosed. The overlay mark includes a plurality of coarsely segmented lines that are formed by a plurality of finely segmented bars. In some cases, the coarsely segmented lines also include at least one dark field while being separated by a plurality of finely segmented bars and at least one clear field. In other cases, the coarsely segmented lines are positioned into at least two groups. The first group of coarsely segmented lines, which are separated by clear fields, are formed by a plurality of finely segmented bars. The second group of coarsely segmented lines, which are separated by dark fields, are also formed by a plurality of finely segmented bars.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: April 8, 2008
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Michael Adel, Mark Ghinovker
  • Patent number: 7338885
    Abstract: In a method for manufacturing a semiconductor device having an alignment mark, a buffer layer is formed on a substrate. A trench is formed at an isolation region of the substrate. The trench is filled with an insulating layer. An alignment groove is formed on the insulating layer in a scribe lane region of the substrate. The buffer layer is removed to form an alignment pattern. An alignment mark includes the alignment pattern and the alignment groove. Therefore, the alignment pattern may be not attacked by solutions in a successive cleaning process such that the alignment mark may be not damaged and maintains its original shape.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: March 4, 2008
    Assignee: Samsnung Electronics Co., Ltd.
    Inventors: Myoung-Hwan Oh, Hee-Sung Kang, Chang-Hyun Park