Substrate Or Mask Aligning Feature Patents (Class 438/975)
  • Patent number: 6888261
    Abstract: An alignment mark and an exposure alignment system and method using the alignment mark for aligning wafers are described. The alignment mark is formed of a plurality of mesa or trench type unit marks that are aligned in an inline pattern within an underlying layer under a layer to which a chemical mechanical polishing process is applied to form an alignment signal during an alignment process, thereby preventing a dishing phenomenon caused by the chemical mechanical process.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: May 3, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won Song, Seong-Il Kim, Sang-Il Han, Chang-Hoon Lee, Choung-Hee Kim
  • Patent number: 6876092
    Abstract: A substrate provided with an alignment mark in a substantially transmissive process layer overlying the substrate, said mark comprising at least one relatively high reflectance area(s) for reflecting radiation of an alignment beam of radiation, and relatively low reflectance areas for reflecting less radiation of the alignment beam, wherein the high reflectance area(s) is (are) segmented in first and second directions both directions being substantially perpendicular with respect to each other so that the high reflectance areas comprise predominantly rectangular segments.
    Type: Grant
    Filed: May 21, 2002
    Date of Patent: April 5, 2005
    Assignee: ASML Netherlands B.V.
    Inventor: Eugenio Guido Ballarin
  • Patent number: 6869829
    Abstract: A semiconductor chip (3) to be positioned with a front face thereof downward for formation of a chip-on-chip structure has electrode marks (35) provided on a back face (34) thereof. The electrode marks (35) are respectively provided in association with a plurality of electrodes (33) provided on the front face (31) of the semiconductor chip in the same arrangement as the arrangement of the electrodes (33). The arrangement of the electrode marks (35) represents the arrangement of the electrodes (33) on the front face (31) when viewed from the side of the back face (34) of the semiconductor chip 3. Therefore, the semiconductor chip (3) can easily be positioned with the front face downward on the basis of the electrode marks (35).
    Type: Grant
    Filed: August 5, 2002
    Date of Patent: March 22, 2005
    Assignee: Rohm Co., Ltd.
    Inventors: Junichi Hikita, Koji Yamamoto, Isamu Nishimura, Nobuhisa Kumamoto
  • Patent number: 6867109
    Abstract: The present invention discloses a mask set for compensating for a misalignment between the patterns and method of compensating for a misalignment between the patterns. A mask set of the present invention comprises a first mask consisted of a mask substrate on which a main pattern and a plurality of sub-patterns are formed, said sub-patterns formed at a side of the main pattern; a second mask consisted of a mask substrate on which a plurality of hole patterns are formed, the hole patterns corresponded to spaces between the main pattern and the sub-patterns of the first mask, respectively when the first and second mask are overlapped to each other; and a third mask consisted of mask substrate on which a plurality of bar patterns are formed, the bar patterns corresponded to the hole patterns of the second mask, respectively when the second and third mask are overlapped to each other.
    Type: Grant
    Filed: January 5, 2004
    Date of Patent: March 15, 2005
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Soon Won Hong, Tae Hum Yang
  • Patent number: 6861282
    Abstract: To provide a semiconductor package mounting method, with excellent work efficiency, wherein the direction of a semiconductor package can be verified by a simple method before mounting. One corner of a square shaped display section provided on the surface of a semiconductor package body is chamfered such that the chamfer dimensions are different from those of the other corners. If image recognition by a camera determines that this chamfered part is located correctly, the orientation of a semiconductor package is determined to be correct. On the other hand, if image recognition determines that it is not located correctly, the orientation of the semiconductor package is adjusted until it is correct.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: March 1, 2005
    Assignee: Yamaha Corporation
    Inventor: Kenichi Shirasaka
  • Patent number: 6861331
    Abstract: Exposure positions of exposure fields of semiconductor wafers are subsequently corrected individually in order to compensate for processes affecting the locational position of alignment marks and/or oblique measurement structures. Measurement structures are formed preferably in the frame region of product wafers comprising electrical circuits to be formed and their locational positions before and after the effect of the process that has an effect are compared individually for purpose of determining the positional displacement for each relevant exposure field. From this there is determined either directly a “shot”-fine correction value for the individual exposure or at least one nonlinear function for the correction in dependence on the position of the measurement structures on the wafer. The corrections are applied to the exposure fields after alignment to the alignment marks overformed by the process in dependence on their position on the wafer.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: March 1, 2005
    Assignee: Infineon Technologies AG
    Inventors: Martin Rössiger, Thorsten Schedel, Jens Stäcker
  • Patent number: 6858441
    Abstract: A method of manufacturing a resistive semiconductor memory device (100), comprising depositing an insulating layer (132) over a workpiece (30), and defining a pattern for a plurality of alignment marks (128) and a plurality of conductive lines (112) within the insulating layer (132). A conductive material is deposited over the wafer to fill the alignment mark (128) and conductive line (112) patterns. The insulating layer (132) top surface is chemically-mechanically polished to remove excess conductive material from the insulating layer (132) and form conductive lines (112), while leaving conductive material remaining within the alignment marks (128). A masking layer (140) is formed over the conductive lines (112), and at least a portion of the conductive material is removed from within the alignment marks (128). The alignment marks (128) are used for alignment of subsequently deposited layers of the resistive memory device (100).
    Type: Grant
    Filed: September 4, 2002
    Date of Patent: February 22, 2005
    Assignee: Infineon Technologies AG
    Inventors: Joachim Nuetzel, Xian J. Ning, Kia-Seng Low, Gill Yong Lee, Rajiv M. Ranade, Ravikumar Ramachandran
  • Patent number: 6858514
    Abstract: Flash memory cells are provided with a high-k material interposed between a floating polysilicon gate and a control gate. A tunnel oxide is interposed between the floating polysilicon gate and a substrate. Methods of forming flash memory cells are also provided comprising forming a first polysilicon layer over a substrate. Forming a trench through the first polysilicon layer and into the substrate, and filling the trench with an oxide layer. Depositing a second polysilicon layer over the oxide, such that the bottom of the second polysilicon layer within the trench is above the bottom of the first polysilicon layer, and the top of the second polysilicon layer within the trench is below the top of the first polysilicon layer. The resulting structure may then be planarized using a CMP process. A high-k dielectric layer may then be deposited over the first polysilicon layer.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: February 22, 2005
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Sheng Teng Hsu, Yoshi Ono
  • Patent number: 6856029
    Abstract: An integrated circuit substrate having a first surface for receiving a series of aligned layers during the creation of the integrated circuit, and a second surface disposed substantially opposite the first surface, where the second surface has at least one alignment mark for aligning the series of aligned layers one to another during creation of the integrated circuit. An apparatus for aligning a mask having an image and at least one complimentary alignment mark to a substrate having a first surface and a substantially opposing second surface, where the substrate has at least one alignment mark on the second surface.
    Type: Grant
    Filed: June 22, 2001
    Date of Patent: February 15, 2005
    Assignee: LSI Logic Corporation
    Inventors: David W. Daniel, James R. B. Elmer
  • Patent number: 6852615
    Abstract: A process and related product in which ohmic contacts are formed in High Electron Mobility Transistors (HEMTs) employing compound substrates such as gallium nitride. An improved device and an improvement to a process for fabrication of ohmic contacts to GaN/AlGaN HEMTs using a novel two step resist process to fabricate the ohmic contacts are described. This novel two-step process consists of depositing a plurality of layers having compounds of Group III V elements on a substrate; patterning and depositing a first photoresist on one of the layers; etching recessed areas into this layer; depositing ohmic metals on the recessed areas; removing the first photoresist; patterning and depositing a second photoresist, smaller in profile than the first photoresist, on the layer; depositing more ohmic metal on the layer allowing for complete coverage of the recessed areas; removing the second photoresist, and annealing the semiconductor structure.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: February 8, 2005
    Assignee: HRL Laboratories, LLC
    Inventors: Miroslav Micovic, Tahir Hussain, Paul Hashimoto, Janna Ruth Duvall
  • Patent number: 6841408
    Abstract: A method of aligning a plurality of empty-spaced buried patterns formed in semiconductor monocrystalline substrates is disclosed. In an exemplary embodiment, high-temperature metal marks are formed to include a conductive material having a melting temperature higher than an annealing temperature used to form such empty-spaced buried patterns. The high-temperature metal marks are formed prior to the formation of the empty-spaced buried patterns formed in a monocrystalline substrate, so that the empty-space buried patterns are aligned to the marks. Subsequent semiconductor structures that are formed as part of desired semiconductor devices can be also aligned to the marks.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: January 11, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Farrar, Joseph E. Geusic
  • Patent number: 6841402
    Abstract: Methods and devices are provided that achieve accurate detection of the positions of alignment marks on wafer substrates and other specimens as used in charged-particle-beam (CPB) microlithography. A charged particle beam (e.g., electron beam) is irradiated onto an area, of a specimen, lacking an alignment mark to obtain a first backscattered-particle signal regarded as “background.” The beam is irradiated onto an area, of the specimen, where an alignment mark is present to obtain a second backscattered-particle signal. The difference of the first signal from the second signal is determined to produce a difference signal containing data concerning only aspects of the alignment mark and not of the background. The methods are especially useful whenever the specimen has crystalline properties that otherwise could affect the backscattered-particle signal.
    Type: Grant
    Filed: September 11, 2000
    Date of Patent: January 11, 2005
    Assignee: Nikon Corporation
    Inventor: Noriyuki Hirayanagi
  • Patent number: 6833622
    Abstract: A dummy structure pattern for fabricating a substantially planar surface within an inactive region of a semiconductor topography is provided. In particular, a semiconductor topography is provided which includes an inactive region comprising a sacrificial annular dummy structure configured to surround an area larger than a square of a minimum critical dimension of a device arranged within an active region of the semiconductor topography. In a preferred embodiment, the area is exclusively designated for a formation of an isolation structure within the semiconductor substrate of the semiconductor topography. As such, a semiconductor topography is provided which includes a separate isolation structure arranged within a spacing of a contiguous isolation structure, which is arranged in a grid pattern within a portion of a semiconductor substrate. Moreover, a semiconductor device is provided which includes an inactive region with a plurality of similarly sized and uniformly arranged annular diffusion regions.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: December 21, 2004
    Assignee: Cypress Semiconductor Corp.
    Inventors: Andrey V. Zagrebelny, Daniel J. Arnzen, Yitzhak Gilboa
  • Patent number: 6833309
    Abstract: Upon formation of semiconductor micro patterns, an interlayer alignment error occurs due to asymmetry of each alignment mark. Prior to alignment of a mask with a wafer, the asymmetry of each alignment mark is measured according to the principle of a scatterometry, and the alignment is performed in consideration of the result of measurement to execute exposure. Thus, high-accuracy alignment can be carried out without sacrificing throughput, and the performance of a semiconductor device is improved. Further, manufacturing yields can be enhanced and a reduction in cost can be realized.
    Type: Grant
    Filed: April 27, 2004
    Date of Patent: December 21, 2004
    Assignee: Renesas Technology Corporation
    Inventor: Hiroshi Fukuda
  • Patent number: 6815308
    Abstract: An alignment mark mask element protects an underlying alignment mark during subsequent processing of the fabrication substrate. The alignment mark mask element is formed concurrent with formation of a photomask from a dual-tone photoresist that exhibits a pattern reversal upon exposure to an energy level. A portion of the dual-tone photoresist above the alignment mark is exposed to an energy sufficient to reverse a positive tone resist to a negative tone, which remains above the alignment mark after developing. The remainder of the dual-tone photoresist is exposed through a reticle at a lesser energy level and patterned to define aperture locations of a photomask for formation of semiconductor device features. In addition, a photomask for use on a fabrication substrate and an intermediate semiconductor device are disclosed. Methods of forming a photomask and an intermediate semiconductor device structure are also disclosed.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: November 9, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Richard D. Holscher, Niroomand Ardavan
  • Patent number: 6815128
    Abstract: A lithographic pattern includes a first scribe along an edge of a die region, and a second scribe along an opposing edge of the die region. The first scribe includes at least a first translucent box and a second translucent box. The second scribe includes at least a first opaque box and a second opaque box defined respectively by a first translucent frame and a second translucent frame. When the lithographic pattern is stepped between fields on a wafer, the first translucent box is placed at least partially within the first opaque box, and the second translucent box is placed at least partially within the second opaque box. If a continuous ring is formed from a pair of a translucent box and an opaque box, the fields are aligned at least within an amount equal to the difference between the dimensions of that translucent box and that opaque box divided by 2.
    Type: Grant
    Filed: April 1, 2002
    Date of Patent: November 9, 2004
    Assignee: Micrel, Inc.
    Inventors: Robert W. Rumsey, Martin E. Garnett
  • Patent number: 6809002
    Abstract: A silicon-on-insulator (SOI) substrate has a grid-line region and a circuit region, and includes a silicon substrate having an upper surface, a first insulating layer having an upper surface and a silicon layer, and which has a grid-line region zoning a circuit region. An element isolation region is formed in the silicon layer of the circuit region of the SOI substrate, and an insulating region is formed in the silicon layer of the grid-line region of the SOI substrate. The insulating region and a portion of the first insulating layer located under the insulating region are removed to define a recess in the grid-line region.
    Type: Grant
    Filed: May 28, 2002
    Date of Patent: October 26, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Sachiko Yabe, Takashi Taguchi
  • Publication number: 20040198017
    Abstract: A method of unblinding an alignment mark comprising the following steps. A substrate having a cell area and an alignment mark within an alignment area is provided. An STI trench is formed into the substrate within the cell area. A silicon oxide layer is formed over the substrate, filling the STI trench and the alignment mark. The silicon oxide layer is planarized to form a planarized STI within the STI trench and leaving silicon oxide within the alignment mark to form a blinded alignment mark. A wet chemical etchant is applied within the alignment mark area over the blinded alignment mark to at least partially remove the silicon oxide within the alignment mark. The remaining silicon oxide is removed from within the blinded alignment mark to unblind the alignment mark. A drop etcher apparatus is also disclosed.
    Type: Application
    Filed: April 26, 2004
    Publication date: October 7, 2004
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Chung-Long Chang, Henry Lo, Shang-Ting Tsai, Yu-Liang Lin
  • Publication number: 20040198018
    Abstract: Upon formation of semiconductor micro patterns, an interlayer alignment error occurs due to asymmetry of each alignment mark. Prior to alignment of a mask with a wafer, the asymmetry of each alignment mark is measured according to the principle of a scatterometry, and the alignment is performed in consideration of the result of measurement to execute exposure. Thus, high-accuracy alignment can be carried out without sacrificing throughput, and the performance of a semiconductor device is improved. Further, manufacturing yields can be enhanced and a reduction in cost can be realized.
    Type: Application
    Filed: April 27, 2004
    Publication date: October 7, 2004
    Applicant: Renesas Technology Corporation
    Inventor: Hiroshi Fukuda
  • Patent number: 6794263
    Abstract: A method of inhibiting pit occurrence on a semiconductor substrate during manufacture of a semiconductor device includes forming an isolation using a shallow trench isolation (STI) method in a semiconductor substrate, forming an insulation layer on an entire surface of the semiconductor substrate having the isolation, implanting ions into the semiconductor substrate using the insulation layer as a buffer layer, annealing the semiconductor substrate using a rapid thermal annealing (RTA) process, forming a photoresist layer on the insulation layer and then forming an opening in the photoresist layer to expose an underlayer thereof, forming an align key by etching the underlayer at the opening, and removing the photoresist layer and the insulation layer. Alternatively, the thickness of the insulation layer may be reduced to prevent the occurrence of pits on active areas of the semiconductor substrate.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: September 21, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kong-Soo Lee, Young-Wook Park, Jae-Jong Han, Gi-Hyun Hwang, Kyoung-Seok Kim, Sung-Eui Kim, Seung-Mok Shin
  • Patent number: 6787431
    Abstract: A method and a semiconductor wafer configuration for producing an alignment mark for semiconductor wafers. In the method, an alignment mark region surrounded by a metal frame is formed on the semiconductor wafer. Subsequently, the alignment mark region and the metal frame are completely buried in at least one dielectric layer, in order to define an alignment mark area in the alignment mark region on the dielectric layer with a photolithography process. The boundary of the alignment mark area lies at a uniform distance within the boundary of the alignment mark region, defined by the metal frame. Subsequently (to uncover the alignment mark area by an anisotropic etching of the dielectric layer), the etching depth is defined in such a way that the alignment mark opening extends at least as far as the level of the metal frame.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: September 7, 2004
    Assignee: Infineon Technologies AG
    Inventor: Peter Lahnor
  • Patent number: 6787930
    Abstract: Alignment marks are formed when source and drain electrodes of a TFT are formed and thereon a thick red filter in formed. So that, the following respective color layers can be made thin on the red filter. Also, the exposure alignment laser permeates in an exposure step, and thereby the alignment marks can be accurately detected.
    Type: Grant
    Filed: July 27, 2000
    Date of Patent: September 7, 2004
    Assignee: NEC LCD Technologies, Ltd.
    Inventors: Shinichi Nakata, Yuji Yamamoto, Mamoru Okamoto, Michiaki Sakamoto, Hironori Kikkawa, Muneo Maruyama
  • Patent number: 6784005
    Abstract: Photoresist reflow for an enhanced process window for non-dense contacts is disclosed. A corrective bias is determined for application to each of a number of contacts at different pitches, to achieve a substantially identical critical dimension for each contact. The corrective bias is determined based on a first and a second critical dimension for each contact, where the first critical dimension is before photoresist reflow, and potentially inclusive of optical proximity effects, and the second critical dimension is after photoresist reflow. A photomask is then constructed for a semiconductor design that incorporates the corrective bias that has been determined for the contacts of the design. Lithographical processing of the semiconductor design on a semiconductor wafer using thus photomask, and subsequent photoresist reflow, thus achieves a substantially identical critical dimension for each of the contacts of the semiconductor design.
    Type: Grant
    Filed: February 16, 2002
    Date of Patent: August 31, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Huan-Tai Lin, Shinn-Sheng Yu, Anthony Yen
  • Patent number: 6784002
    Abstract: A wafer bumping method comprising the following steps of. A wafer having fields is provided. The wafer having at least one wafer identification character formed thereon within one or more of the fields. A dry film resist is formed over the wafer. Portions of the dry film resist are selectively exposed field by field using a mask whereby the mask is shifted over the one or more fields containing the at least one wafer identification character so that the one or more fields containing the at least one wafer identification character is double exposed after the mask shift so that all of the one or more fields containing the at least one wafer identification character is completely exposed. The selectively exposed dry film resist is developed to remove the non-exposed portions of the dry film resist.
    Type: Grant
    Filed: June 21, 2002
    Date of Patent: August 31, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Hui-Peng Wang, Kuo-Wei Lin, Hwei-Mei Yu, Ta-Yang Lin, Charles Tseng
  • Patent number: 6767800
    Abstract: A process for integrating an alignment mark and a trench device. A substrate having first and second trenches is provided. The second trench is used as the alignment mark having a width larger than the first trench. The trench device is formed in each of the low portion of the first and second trenches, and then a first conductive layer is formed on the trench device in each of the first and second trenches. A second conductive layer is formed overlying the substrate and fills in the first trench and is simultaneously and conformably formed over the inner surface of the second trench. The second conductive layer and a portion of the first conductive layer in the second trench are removed and simultaneously leave a portion of the second conductive layer in the first trench by the etch back process.
    Type: Grant
    Filed: July 28, 2003
    Date of Patent: July 27, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Tzu-Ching Tsai, Liang-Hsin Chen
  • Patent number: 6762111
    Abstract: Upon formation of semiconductor micro patterns, an interlayer alignment error occurs due to asymmetry of each alignment mark. Prior to alignment of a mask with a wafer, the asymmetry of each alignment mark is measured according to the principle of a scatterometry, and the alignment is performed in consideration of the result of measurement to execute exposure. Thus, high-accuracy alignment can be carried out without sacrificing throughput, and the performance of a semiconductor device is improved. Further, manufacturing yields can be enhanced and a reduction in cost can be realized.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: July 13, 2004
    Assignee: Renesas Technology Corporation
    Inventor: Hiroshi Fukuda
  • Patent number: 6759112
    Abstract: The present invention describes a structure for and a method of forming a first set and a second set of features in a substrate; covering the first and second set of features with a material; forming a third set of features in the material and removing the material to expose the first set of features, leaving the second set of features embedded below the material; measuring post-etch overlay between the first set and the third set of features; and measuring post-develop overlay between the second set and the third set of features.
    Type: Grant
    Filed: December 30, 2000
    Date of Patent: July 6, 2004
    Assignee: Intel Corporation
    Inventor: Alan Wong
  • Publication number: 20040082139
    Abstract: In a method for forming a semiconductor device and a semiconductor device having an overlay mark, a first pattern for the semiconductor device is formed in a semiconductor device formation region of a semiconductor substrate and simultaneously in a first mark formation region of the semiconductor substrate. A second pattern for the semiconductor device is formed on a resultant structure in the semiconductor device formation region of the semiconductor substrate and simultaneously in a second mark formation region of the semiconductor substrate. The first and second patterns in the first and second mark formation regions, respectively, are inspected for misalignments using overlay marks formed to have shapes and sizes identical to those of real patterns in the semiconductor device formation region of the semiconductor substrate. By measuring misalignments of real patterns using the overlay marks, overlay mismatch between the semiconductor device formation region and the overlay mark may be prevented.
    Type: Application
    Filed: June 25, 2003
    Publication date: April 29, 2004
    Inventors: Dae-Joung Kim, Seok-Hwan Oh
  • Publication number: 20040075159
    Abstract: A nanoscopic tunnel is disclosed. The tunnel can be formed in or on a substrate, such as a semiconductor.
    Type: Application
    Filed: October 17, 2002
    Publication date: April 22, 2004
    Applicant: Nantero, Inc.
    Inventor: Bernhard Vogeli
  • Patent number: 6723614
    Abstract: A semiconductor device that permits effective use of a region positioned under a positional detection mark or an external electrode, i.e., the region that has not been conventionally utilized may be provided. In a semiconductor device including a lower layer, a shielding film and an upper layer, the lower layer includes at least one selected from the group consisting of a positional detection mark, a quality testing element, and a circuit element. The shielding film is formed on the lower layer and shields an energy beam used for detecting a positional detection mark. The upper layer includes a positional detection mark formed on the shielding film.
    Type: Grant
    Filed: December 6, 2001
    Date of Patent: April 20, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Masao Sugiyama
  • Patent number: 6724096
    Abstract: A semiconductor device structure comprises a corner structure enclosed by a delineation region, wherein the shape of the corner structure does not exhibit any symmetry with respect to point symmetry and axial symmetry, such that the corner structure is unambiguously recognizable by an automated alignment system. Furthermore, the inner region of the corner structure may be filled with a pattern indicating the type of material layer in which the corner structure is formed. The corner structure exhibits a strong contrast even if the wafer is subjected to a CMP treatment.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: April 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Werner, Gunter Grasshoff, Bernd Schulz, Carsten Hartig
  • Patent number: 6716559
    Abstract: A method and system for determining overlay tolerances. The method comprises the steps of exposing wafers at different critical dimensions (preferably, above, below, and at optimum image size); and varying the overlay across each wafer, preferably by intentionally increasing the magnification. Functional yield data are used to determine the overlay tolerance for each of the image sizes. The present invention, thus, studies the interaction of image size and feature misalignment. Prior to this invention, the only way to attain this information was to process a large number of lots and create a trend of image size and alignment vs. yield. The present invention solves the problem by determining the overlay tolerance based on yield data from a single lot. The design can then be altered or the overlay limit can be tightened (or relaxed) based on failure analysis of the regions/features that are most sensitive to misalignment.
    Type: Grant
    Filed: December 13, 2001
    Date of Patent: April 6, 2004
    Assignee: International Business Machines Corporation
    Inventors: Robert K. Leidy, Timothy C. Milmore, Matthew C. Nicholls
  • Patent number: 6716724
    Abstract: In the method of producing the 3-5 group compound semiconductor carrying out the lateral direction selective growth of the desired GaN type 3-5 group compound-semiconductor layer on this c-plane by the stripe mask formed on the c-plane of the underlying crystal containing a GaN type 3-5 group compound semiconductor, a stripe mask is formed on the underlying crystal such that the direction of the stripe is rotated 0.095° or more and less than 9.6° from <1-100> direction, and with using this stripe mask, the lateral direction selective growth of the GaN type 3-5 group compound-semiconductor layer is carried out, and a high quality 3-5 group compound-semiconductor layer can be formed on the underlying crystal.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: April 6, 2004
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Yasushi Iyechika, Masaya Shimizu, Yoshinobu Ono
  • Patent number: 6713842
    Abstract: A mask for and method of forming a character on a substrate of a semiconductor device that can be clearly observed even if positioned over complex and random patterns formed on the substrate. The mask includes a transparent medium that includes one or more plurality of regions that each includes a plurality of opaque gratings or lines. The gratings are configured to form a character (or indicia) that contrasts with the remainder of the medium. When light is passed through the mask, the light is refracted off the gratings, thereby producing markedly different colors and/or intensities of light on the substrate. The mask is used during a positive or negative etching process to form a character on a surface of a semiconductor substrate that can be easily viewed by an observer without magnification.
    Type: Grant
    Filed: August 17, 2000
    Date of Patent: March 30, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Terence Manchester
  • Patent number: 6713883
    Abstract: The present invention discloses a mask set for compensating for a misalignment between the patterns and method of compensating for a misalignment between the patterns. A mask set of the present invention comprises a first mask consisted of a mask substrate on which a main pattern and a plurality of sub-patterns are formed, said sub-patterns formed at a side of the main pattern; a second mask consisted of a mask substrate on which a plurality of hole patterns are formed, the hole patterns corresponded to spaces between the main pattern and the sub-patterns of the first mask, respectively when the first and second mask are overlapped to each other; and a third mask consisted of mask substrate on which a plurality of bar patterns are formed, the bar patterns corresponded to the hole patterns of the second mask, respectively when the second and third mask are overlapped to each other.
    Type: Grant
    Filed: December 16, 1997
    Date of Patent: March 30, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Soon Won Hong, Tae Hum Yang
  • Patent number: 6709949
    Abstract: In the three-dimensional integration of integrated circuits, a thinned semiconductor substrate is arranged on a second semiconductor substrate and is mechanically and electrically connected thereto. To that end, in the second, thinned semiconductor substrate, continuous contact holes are formed proceeding from a substrate rear side as far as a first metal wiring plane on a substrate front side. In order to align the contact holes with the structures arranged on the front side, a structure is arranged on the front side of the substrate, which can be used as an alignment mark on the front side. The structure is overgrown with a useful layer and uncovered proceeding from the rear side of the substrate, so that the structure can also be used as an alignment mark from the rear side. This avoids an alignment error between the structures arranged on the front side and the rear side.
    Type: Grant
    Filed: October 21, 2002
    Date of Patent: March 23, 2004
    Assignee: Infineon Technologies AG
    Inventor: Holger Hübner
  • Patent number: 6706609
    Abstract: A method of forming a multi-layered semiconductor structure having an alignment feature for aligning a lithography mask and that may be used in connection with a SCALPEL tool. The present invention is particularly well-suited for sub-micron CMOS technology devices and circuits, but is not limited thereto. The present invention advantageously permits use of an electron beam source for both alignment and exposure of a lithography mask on a semiconductor wafer. The present invention also advantageously enables the formation of an alignment feature early (i.e., zero-level) in the semiconductor device fabrication process.
    Type: Grant
    Filed: May 29, 2001
    Date of Patent: March 16, 2004
    Assignees: Agere Systems Inc., eLith, LLC
    Inventors: David M. Boulin, Reginald C. Farrow, Isik C. Kizilyalli, Nace Layadi, Masis Mkrtchyan
  • Patent number: 6689681
    Abstract: A semiconductor device includes a first insulating layer which is formed above a semiconductor substrate including a plurality of semiconductor elements and which includes lower-layer damascene wiring, a second insulating layer which is formed on the first insulating layer and which includes a second damascene wiring and an aligning wiring pattern forming a first step, and a first aligning surface wiring pattern including a surface wiring pattern to cover the second damascene wiring and a first aligning surface wiring pattern which is formed on the aligning wiring pattern and which has a second step reflecting the first step. The surface wiring pattern and the first aligning surface wiring pattern are formed using one surface wiring layer. A novel multilayer wiring structure thus obtained is suitably manufactured by the damascene process.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: February 10, 2004
    Assignee: Fujitsu Limited
    Inventor: Kenichi Watanabe
  • Patent number: 6667253
    Abstract: An alignment mark and an exposure alignment system and method using the alignment mark for aligning wafers are described. The alignment mark is formed of a plurality of mesa or trench type unit marks that are aligned in an inline pattern within an underlying layer under a layer to which a chemical mechanical polishing process is applied to form an alignment signal during an alignment process, thereby preventing a dishing phenomenon caused by the chemical mechanical process.
    Type: Grant
    Filed: July 16, 2001
    Date of Patent: December 23, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won Song, Seong-II Kim, Sang-II Han, Chang-Hoon Lee, Choung-Hee Kim
  • Patent number: 6667221
    Abstract: A technique for preventing a decrease in alignment accuracy during a photolithography process is provided. A substrate (1) is prepared, in the surface (80) of which trenches (7) for use as alignment marks and trenches (17, 27) each forming an element isolation structure are formed and on the surface (80) of which a polysilicon film (3) is formed, avoiding the trenches (7, 17, 27). The trenches (7, 17, 27) are filled with an insulation film (30). The insulation film (30) is then selectively etched to partially remove the insulation film (30) in the trenches (7) and to leave the insulation film (30) on side and bottom surfaces (81, 82) of the trenches (7). Using the insulation film (30) in the trenches (7) as a protective film, the polysilicon film (3) is selectively etched. The use of the insulation film (30) in the trenches (7) as a protective film prevents the substrate (1) from being etched and thereby prevents the shape of the trenches (7) from being changed.
    Type: Grant
    Filed: August 6, 2002
    Date of Patent: December 23, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Masashi Kitazawa, Tomohiro Yamashita, Takashi Kuroi
  • Patent number: 6664191
    Abstract: A method is provided of forming lines with spaces between memory cells below a minimum printing dimension of a photolithographic tool set. In one aspect of the invention, lines and spaces are formed in a first polysilicon layer that forms floating gates of flash memory cells. STI regions are formed between adjacent memory cells in a substrate to isolate the cells from one another. The first polysilicon layer is deposited over the substrate covering the STI regions. The first polysilicon layer is then planarized by a CMP process or the like to eliminate overlay issues associated with the STI regions. A hard mask layer is deposited over the first polysilicon layer and a first space dimension d1 etched between adjacent memory cells. A conformal nitride layer is deposited over the hard mask layer and an etch step performed to form nitride side walls adjacent the spaces.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: December 16, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Unsoon Kim, Yider Wu, Yu Sun, Michael K. Templeton, Angela T. Hui, Chi Chang
  • Patent number: 6660612
    Abstract: One aspect of the invention relates to a method of manufacturing a semiconductor device in which an alignment mark is formed by a plurality of adjacent filled trenches. A processing tool detects the trenches as though they were a single filled trench of larger dimension. When the trenches are metal filled, the metal is more easily protected from oxidation than when the metal is formed into a single large trench, an effect that is pronounced when the trenches are filled with tungsten. Another aspect of the invention relates to an alignment mark formed by a plurality of tungsten filled trenches. The alignment mark can be used to align the pattern for an FeRAM capacitor stack to underlying tungsten contacts.
    Type: Grant
    Filed: November 7, 2002
    Date of Patent: December 9, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Yung Shan Chang, Theodore S. Moise, IV, Scott R. Summerfelt
  • Patent number: 6661105
    Abstract: A semiconductor substrate having an upper layer and an alignment mark structure formed on a surface region of the upper layer, the surface region defined by opposite first and second parallel sides extending along the upper layer, outer side walls extending upwardly from the upper layer and extending lengthwise along the side, and are defined lengthwise by alternating first and second wall portions, each of the first wall portions is spaced farther from the first side of the surface region than is each of the second wall portions, and an alignment pattern defined by openings in the alignment mark structure.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: December 9, 2003
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Yasuhiro Yamamoto, Takahiro Yamauchi
  • Patent number: 6660653
    Abstract: Fabricating a dual-trench alternating phase shift mask (PSM) is disclosed. A chromium layer over a quartz layer of the PSM is patterned according to a semiconductor design. The quartz layer is dry etched a first number of times through a first photoresist layer applied over the chromium layer and patterned according to the deep trenches of the alternating PSM design by using beam writing. This initially forms deep trenches of the PSM. The quartz layer is dry etched a second number of times through a second photoresist layer applied over the chromium layer and patterned according to the deep trenches and the shallow trenches of the alternating PSM design by using backside ultraviolet exposure. This completely forms shallow trenches and the deep trenches of the PSM. The second photoresist layer is then removed.
    Type: Grant
    Filed: May 21, 2002
    Date of Patent: December 9, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd
    Inventors: San-De Tzu, Chang-Ming Dai, Ching-Hsing Chang
  • Patent number: 6649077
    Abstract: A method and an apparatus for removing coating layers from the top of alignment marks on a wafer situated in a spin processor are described. The method may be carried out by first providing a spin process equipped with a rotatable wafer pedestal, then providing a wafer that has at least one alignment mark covered by a coating layer, mounting an edge ring on an outer periphery of the wafer pedestal, the edge ring has at least one tab section extending outwardly from an inner periphery of the edge ring, then positioning the wafer faced down and supported by an inert gas flow on the edge ring such that a narrow gap is formed between the tab section on the edge ring and the alignment marks and dispensing an etchant onto a backside of the wafer while rotating.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: November 18, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd
    Inventors: Pang-Yen Tsai, Tien-Chen Hu, Sen-Shan Yang, Wei-Cheng Ku
  • Publication number: 20030209812
    Abstract: A method of aligning a wafer and masks. In the present invention, a wafer having a surface with a plurality of fields and scribe lines is provided, and an initial mask and a subsequent mask are provided. The initial mask and the subsequent mask have a first pattern and a second pattern respectively corresponding to the fields, and have a plurality of original alignment marks at the corners thereof. The first pattern is transferred to the fields and a plurality of secondary alignment marks corresponding to the original alignment marks are formed at the corners of the fields by the initial mask. An intra-field alignment is performed to transfer the second pattern to the each field by aligning the original alignment marks with the secondary alignment marks at the corner of each field.
    Type: Application
    Filed: July 8, 2002
    Publication date: November 13, 2003
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventor: Shu-Liang Nin
  • Patent number: 6647311
    Abstract: Systems and methods are presented for employing arrays of coupling strips to measure misalignment of layers of multilayer devices as a function of directional coupling between pairs of strips. Each array is capable of detecting misalignment only in the direction perpendicular to the axes of the coupling strips, although multiple arrays may be employed for measuring misalignment in more than one direction. Such arrays are easily manufactured onto existing multilayer devices, and may be excised from such devices after misalignment has been measured.
    Type: Grant
    Filed: November 18, 1999
    Date of Patent: November 11, 2003
    Assignee: Raytheon Company
    Inventor: Miles E. Goff
  • Publication number: 20030207479
    Abstract: A laser array and method of making same has precision fiducial marks that aid in the alignment of the laser array. The invention requires forming additional optical features adjacent to the laser array that is used to write fiducial marks on an opposite surface in the medium containing the laser array. Fiducial marks are formed when high intensity collimated beams of light are directed through the optical features onto a treated portion of the transparent medium. Fiducial accuracies of 1 micron are possible by using this approach.
    Type: Application
    Filed: April 22, 2003
    Publication date: November 6, 2003
    Inventors: John Border, Susan H. Bernegger, John C. Pulver, Morgan A. Smith
  • Patent number: 6642158
    Abstract: Formation of a mixed-material composition through diffusion using photo-thermal energy. The diffusion may be used to create electrically conductive traces. The diffusion may take place between material layers on one of a package substrate, semiconductor substrate, substrate for a printed circuit board (PCB), or other multi-layered substrate. The photo-thermal energy may be supplied by various devices, for example a YAG laser device, CO2 laser device, or other energy source.
    Type: Grant
    Filed: September 23, 2002
    Date of Patent: November 4, 2003
    Assignee: Intel Corporation
    Inventors: Gary A. Brist, Gary B. Long, Daryl A. Sato
  • Publication number: 20030203591
    Abstract: A laser marking apparatus and method for marking the surface of a semiconductor chip are described herein. A laser beam is directed to a location on the surface of the chip where a laser reactive material, such as a pigment containing epoxy, is present. The heat associated with the laser beam causes the laser reactive material to fuse to the surface of the chip, creating a visibly distinct mark in contrast to the rest of the surface of the chip. Only reactive material contacted by the laser fuses to the chip surface and the remaining residue on the non-irradiated portion can be readily removed.
    Type: Application
    Filed: May 19, 2003
    Publication date: October 30, 2003
    Inventor: Tim J. Corbett