Iteration Patents (Class 716/123)
  • Patent number: 8316331
    Abstract: An improved method and system for stitching one or more islands of an integrated circuit design is disclosed. Multiple connected island objects in the IC design are first identified. At least one of the multiple identified connected island objects is then modified to form a modified island object. The modified island object may then be stitched into the multiple identified connected island objects. In some embodiments, stitching a modified island object may be implemented by tracking the endpoint(s), port(s), or node(s) of the connected island object being modified and stitched.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: November 20, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Eric Nequist, Richard Brashears, Matthew A. Liberty, Michael C. McSherry
  • Patent number: 8312407
    Abstract: An access pad is used to provide access to a functional block of an integrated circuit (IC) device. The access pad is formed using dummy metal in an open space in a metallization level that is between a top metallization level and a base level on which the functional block is formed in the IC device. The access pad at the metallization level provides a contact to access an underlying circuit of the functional block so that the functional integrity of the functional block of the IC device can be verified during probing.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: November 13, 2012
    Assignee: Altera Corporation
    Inventors: Vijay Chowdhury, Che Ta Hsu, Ada Yu
  • Patent number: 8312408
    Abstract: A layout region in which a wiring pattern and a special pattern are placed is divided into division regions. The minimum pitch for the special pattern is larger than the minimum pitch for the wiring pattern. With respect to each division region, the special pattern included in a predetermined region surrounding the each division region is extracted as a peripheral pattern, and a dummy pattern placement region included in the each division region is determined. The dummy pattern placement region is apart from at least one of boundaries between adjacent division regions. A dummy pattern is added in the dummy pattern placement region with avoiding a design rule error with the peripheral pattern existing around the each division region. Then, the plurality of division regions to which the dummy pattern is added are coupled with each other.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: November 13, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Daishin Itagaki
  • Patent number: 8307318
    Abstract: A method of configuring a semiconductor integrated circuit (IC) includes arranging a circuit region in the center of a unit cell. Capacitor/resistor regions are arranged along the left and right edge portions of the unit cell. The capacitor/resistor regions include a plurality of active resistors having the same length and a capacitor having a width equal to the length of the plurality of active resistors. In addition, a first conductive layer is arranged longitudinally in each of the capacitor/resistor regions so as to contact the left and right edge portions of the unit cell.
    Type: Grant
    Filed: September 4, 2009
    Date of Patent: November 6, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-hoon Kim, Won-Il Bae
  • Patent number: 8302041
    Abstract: A computer-implemented method of implementing a circuit design that includes an initial network within a programmable logic device can include generating a first choice network from the circuit design according to a first synthesis technique and determining a placement for the first choice network. At least a second choice network can be generated from the first choice network according to a second synthesis technique. A placement for the second choice network can be determined. The placement for the first choice network can be compared with the placement for the second choice network. A placement and corresponding choice network can be selected according to the comparison, and output.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: October 30, 2012
    Assignee: Xilinx, Inc.
    Inventors: Vi Chi Chan, Tetse Jang, Kevin Chung, Taneem Ahmed, David Nguyen Van Mau, Mehrdad Parsa, Amit Singh
  • Patent number: 8296120
    Abstract: Iterative repair problems are generally solved using a combinatorial search method such as simulated annealing are addressed with a FPGA-based coarse-grain pipelined architecture to accelerate a simulated annealing based iterative repair-type event scheduling application. Over 99% of the work done by any simulated annealing algorithm is the repeated execution of three high-level steps: (1) generating, (2) evaluating, and (3) determining the acceptability of a new problem solution. A pipelined processor is designed to take advantage of these steps.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: October 23, 2012
    Assignee: Utah State University
    Inventors: Jonathan D. Phillips, Aravind Dasu
  • Patent number: 8296706
    Abstract: A computer-implemented method for handling a plurality of constraints in layout optimization for an integrated circuit (IC) layout is disclosed. In one embodiment, the method includes building a graph representing the plurality of constraints; marking two-dimensional constraints in the plurality of constraints; generating two-dimensional clusters including groups of the two-dimensional constraints; handling at least one of the two-dimensional clusters, the handling including finding a solution for the two-dimensional constraints in the at least one two-dimensional cluster; repeating the handling for any unprocessed two-dimensional clusters until all of the two-dimensional clusters are handled; and adopting the solution for each of the two-dimensional clusters to solve at least a portion of the plurality of constraints including the two-dimensional clusters.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: October 23, 2012
    Assignee: International Business Machines Corporation
    Inventors: Michael S. Gray, Xiaoping Tang, Xin Yuan
  • Patent number: 8281269
    Abstract: An object of the present invention is to largely reduce a period required for a layout design of a semiconductor integrated circuit device by simplifying a hierarchical layout process. It is necessary to couple a signal line between a circuit belonging to a top and a signal terminal of a block, and there is such an inadequate situation that the signal line cannot be coupled to a predetermined location of the signal terminal of the block or the signal line needs to be largely detoured depending on congestion conditions of the other signal lines in the block and the signal lines of the top coupled to the other blocks. Accordingly, location information of the signal terminal is deleted before the signal line is coupled, so that the signal line can be coupled irrespective of the location information of the signal terminal of the block.
    Type: Grant
    Filed: April 21, 2010
    Date of Patent: October 2, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Satoshi Shibatani, Koki Tsurusaki
  • Patent number: 8276109
    Abstract: A mixed-height cell library for designing integrated circuits is provided. The mixed-height cell library includes a first plurality of cells having a first track height and a second plurality of cells having a second track height that are configured to be coupled to the first plurality of cells at respective power and ground rail lines. A method for mixed-height cell placement and optimization is also provided. The method comprises abutting cells of different track heights to form a plurality of rows of cells by coupling power and ground rails of the cells at a secondary layer that is different from a primary layer that is used to connect active material and determining whether re-ordering cells within rows allows for further compaction of adjacent rows. The method further comprises re-ordering cells within rows so to allow for further compaction of adjacent rows. The method also includes the steps of splitting rows vertically to minimize the distance between the split rows.
    Type: Grant
    Filed: February 12, 2009
    Date of Patent: September 25, 2012
    Assignee: Broadcom Corporation
    Inventors: Paul Penzes, Koen Lampaert
  • Patent number: 8261224
    Abstract: Components are inserted into a cell-based current chin design with multiple levels of nested hierarchy. A selection of components having various silicon densities to insert into the current chip design is received. The components are inserted into the current chip design such that the components do not touch or overlap existing circuits or silicon shapes in the current chip design. The components are inserted such that components having highest silicon densities are placed further away from the existing circuits or silicon shapes than components having lower silicon densities.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: September 4, 2012
    Assignee: International Business Machines Corporation
    Inventors: Frank Malgioglio, Christopher J. Berry
  • Patent number: 8261220
    Abstract: Partitioning of a design allows static timing analysis (STA), signal integrity, and noise analysis to be performed in parallel on multiple, less demanding, and more available hardware resources. Therefore, runtime and throughput of the analysis can be significantly shortened. Notably, the partitioning can include redundancy. That is, partitions are allowed to share objects in order to preserve the timing path completeness and design structural integrity. Due to this redundancy, these partitions can account for many constraints specifically imposed by STA and ensure minimal inter-partition data dependency during the analysis. Once these partitions are populated, analysis can be performed on those partitions in parallel to generate the same timing results as if the design had been analyzed flat as a single unit. Therefore, the performance of the analysis can be optimized without compromising the accuracy and quality of results.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: September 4, 2012
    Assignee: Synopsys, Inc.
    Inventors: Qiuyang Wu, Brian Clerkin
  • Patent number: 8261223
    Abstract: A placer produces a global placement plan specifying positions of cell instances and orientations of macros within an integrated circuit (IC) by initially clusterizing cell instances and macros to form a pyramidal hierarchy of blocks. Then the placer iteratively repeats the declusterization and routability improvement process from the highest level to the lowest level of the hierarchy. An objective function is provided in Cartesian coordinate for representing the position of each movable instance and in polar coordinate for representing the orientation of a macro relative to its the center. For each movable instance and each rotatable macro, its position or orientation is determined by conjugate gradient method to minimize total wire length. Finally, the placer uses a look-ahead legalization technique to rotate rotatable macros to legal orientations and move cell instances to legal positions in the end of global placement.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: September 4, 2012
    Assignees: Springsoft Inc., Springsoft USA, Inc.
    Inventors: Meng-Kai Hsu, Yao-Wen Chang, Tung-Chieh Chen
  • Patent number: 8255855
    Abstract: Some embodiments of the present invention provide a system that routes nets over circuit blocks in a hierarchical circuit design. During operation, the system can receive a set of circuit blocks. At least some terminals of the circuit blocks may be desired to be electrically linked together using a net which is expected to be routed over one or more circuit blocks. The system may divide an area associated with a block (e.g., an area in a metal layer which is situated above the block) into a set of tiles. Next, the system may assign costs to at least some of the tiles in the set of tiles. The system can then use the costs during routing. Note that using the costs of the tiles during routing makes it more likely that buffers can be used wherever required to meet slew and timing requirements.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: August 28, 2012
    Assignee: Oracle America, Inc.
    Inventors: Yi Wu, Dajen Huang, Kalon S. Holdbrook
  • Patent number: 8250511
    Abstract: A designing apparatus includes an initial estimating portion, a general power supply noise analyzing portion, a layout designing portion, a detail estimating portion, a detail power supply noise analyzing portion, and a layout adjusting portion. The initial estimating portion estimates general values of an entire consumed current and an entire on-chip capacitance. Based on the estimated general values, the general power supply noise analyzing portion creates a lumped constant circuit model so as to conduct a power supply noise analysis, for computing a current-capacitance ratio. Based on the current-capacitance ratio, the layout designing portion performs placement of cells for each of predetermined regions obtained by dividing a placement region. The detail estimating portion creates a lumped constant circuit model for each of the predetermined regions so as to estimate detail values of the consumed current and the on-chip capacitance for each of the predetermined regions.
    Type: Grant
    Filed: October 9, 2009
    Date of Patent: August 21, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Susumu Kobayashi
  • Patent number: 8239797
    Abstract: A circuit design process is presented that includes a block placement operation, followed by global routing based upon the initial placement of the blocks. Congestion data is generated from the global routing and, in an automated process, the blocks are placed again based upon the congestion data to reduce the routing congestion of the design. This can be used as part of a custom layout design process, for example.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: August 7, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sanjib Ghosh, Vandana Gupta, Hitesh Marwah, Mahendra Singh Khalsa, Pawan Fangaria
  • Patent number: 8234615
    Abstract: Assigning pins to macro-blocks of an Integrated Circuit (IC) chip is described. The macro-block pin assignments are automatically placed using Constraint Programming (CP) techniques to position the pins on the periphery of a macro-block. Bus-ordering and pin-spacing constraints are performed considering all the nets forming the IC design simultaneously. The CP formulation includes modeling detailed and discrete constraints required to achieve an optimal pin-assignment. A stochastic CSP solver is used to define the cost function on search points giving full assignments to all the variables. The macro-block pins are ultimately moved to computed locations.
    Type: Grant
    Filed: August 4, 2010
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Shyam Ramji, Bella Dubrov, Haggai Eran, Ari Freund, Edward F. Mark, Timothy A. Schell
  • Patent number: 8234612
    Abstract: Spare cells are placed in an IC design by assigning different spare utilization rates to logic cones, applying the rates to corresponding spare cell regions surrounding cells in the cones, identifying any overlap of regions from different logic cones, and inserting a spare cell at the overlapping region having the highest spare utilization rate. The best location for the spare cell is computed using a hypergraph wherein the cells are edges and the regions are nodes. Any node that is dominated by another node is removed and its edge is extended to the dominating node. The spare cell is inserted in the region having the most edges (the edges can be weighted). The process is repeated iteratively, updating the hypergraph by removing nodes connected to spare cell location, and inserting the next spare cell at a region corresponding to the node which then has the greatest number of connected edges.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Benjiman L. Goodman, Nathaniel D. Hieter, Jeremy T. Hopkins, Samuel I. Ward
  • Patent number: 8219944
    Abstract: A method, system, and computer program product are disclosed for performing RC extraction from the perspective of the block level. A translation mechanism is employed to convert from a full-chip design domain to a block-level design domain. This allows model-based prediction results to be used in the early design implementation flow when parasitic RC and timing extractions are performed, where the model-based prediction results relate to predictions of manufacturing variations such as thickness and topography.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: July 10, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Li J. Song, Zhan-Zhong Yao, Rachid Salik, Hao Ji, Taber Smith
  • Patent number: 8214778
    Abstract: A semiconductor chip is defined to include a logic block area having a first chip level in which layout features are placed according to a first virtual grate, and a second chip level in which layout features are placed according to a second virtual grate. A rational spatial relationship exists between the first and second virtual grates. A number of cells are placed within the logic block area. Each of the number of cells is defined according to an appropriate one of a number of cell phases. The appropriate one of the number of cell phases causes layout features in the first and second chip levels of a given placed cell to be aligned with the first and second virtual grates as positioned within the given placed cell.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: July 3, 2012
    Assignee: Tela Innovations, Inc.
    Inventors: Jonathan R. Quandt, Scott T. Becker, Dhrumil Gandhi
  • Publication number: 20120159417
    Abstract: A task-based multi-process design synthesis methodology relies on a plurality of child processes to assist a parent process in performing optimizations on an integrated circuit design. Objects from an integrated circuit design are grouped into subsets and assigned to child processes, with each child process performing a transform on each of the objects in the subset assigned to that child process and determining which of the objects in the subset are candidate objects for which performance of the transform has been successful. The child processes then notify the parent process of those objects that qualify as candidate objects, so that the parent process only has to perform the transform on the candidate objects, thereby relieving the parent process from the overhead associated with performing the transform on non-candidate objects for which the transform has been determined by the child processes as not being successful.
    Type: Application
    Filed: December 20, 2010
    Publication date: June 21, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Anthony D. Drumm, Jagannathan Narasimhan, Lakshmi N. Reddy, Louise H. Trevillyan, Brian C. Wilson
  • Patent number: 8196081
    Abstract: In one embodiment of the invention, a processor-implemented method is provided for routing of a partially routed circuit design. Modified signals of the partially routed circuit design are determined. A first set of routing constraints are applied by the processor to the unmodified signals of the circuit design. For each logic block of the circuit design, the number of the modified signals and the number of the unmodified signals connected to the logic block are determined. In response to one of the logic blocks having a ratio of the number of modified signals to the number of unmodified signals greater than a threshold ratio, the routing constraints are removed by the processor from one or more of the unmodified signals of the one of the logic blocks. The partially routed circuit design is then routed by the processor according to the remaining routing constraints, and the resulting netlist is stored.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: June 5, 2012
    Assignee: Xilinx, Inc.
    Inventors: Hasan Arslan, Vinay Verma, Sandor Kalman
  • Patent number: 8191024
    Abstract: A computer program for generating an H-tree for an integrated circuit design stored on a computer readable medium includes code to receive from a user a set of parameters to configure the H-tree. The parameters include a starting segment length and an ending segment length. The computer program also includes code to select a starting location in the integrated circuit design. The computer program further includes code to place an anchor H at the starting location. The computer program further includes code to recursively place child Hs on the H-tree based on the starting segment length and the ending segment length to create a fan-out with equal weight on each child H. The number of levels of the H-tree is calculated according to a rounded down integer equal to a binary logarithm of a quotient of the starting segment length divided by the ending length.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: May 29, 2012
    Assignee: QUALCOMM Incorporated
    Inventor: Chandrasekhar Singasani
  • Patent number: 8181140
    Abstract: A method of generating a circuit design comprising a T-coil network includes determining inductance for inductors and a parasitic bridge capacitance of the T-coil network. The parasitic bridge capacitance is compared with a load capacitance metric that depends upon parasitic capacitance of a load coupled to an output of the T-coil network. An amount of electrostatic discharge (ESD) protection of the circuit design that is coupled to the output of the T-coil network and/or a parameter of the inductors of the T-coil network is selectively adjusted according to the comparison. The circuit design, which can specify inductance of the inductors, the amount of ESD protection, and/or the width of windings of the inductors, is outputted.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: May 15, 2012
    Assignee: Xilinx, Inc.
    Inventors: Vassili Kireev, James Karp, Toan D. Tran
  • Patent number: 8176452
    Abstract: Methods and apparatuses for incremental circuit partitioning and incremental trace assignment. In one embodiment of the present invention, a cost function based on both the partitioning solution and the trace assignment solution is used for the partitioning of a circuit; in reducing the cost function, blocks of circuits are moved among partitions and the trace assignment are updated accordingly to evaluate the cost function. In one embodiment, the traces and nets are grouped according to the partitions they connect for trace assignment. In one embodiment, a flow diagram is constructed for assigning nets to traces; and, maximum flow algorithms are used. In one embodiment, a flow diagram includes feedthrough solutions, in which flow conservation is not preserved at certain nodes. In one embodiment, integer linear programming techniques are used for assigning nets to traces.
    Type: Grant
    Filed: November 9, 2010
    Date of Patent: May 8, 2012
    Assignee: Synopsys, Inc.
    Inventors: Awartika Pandey, Drazen Borkovic, Kenneth S. McElvain
  • Patent number: 8176451
    Abstract: A behavioral synthesis apparatus includes a acquisition unit, a scheduling unit and a generation unit. The acquisition unit acquires a behavioral level description describing an operation of a semiconductor integrated circuit. The scheduling unit separates the acquired behavioral level description into N stage descriptions, and makes a schedule in such a way that input/output operations and computations among the N stage descriptions are pipelined. The generation unit generates a register transfer level description based on the N stage descriptions and a result of scheduling performed by the scheduling unit in such a way as to form stage circuits respectively corresponding to the N stage descriptions and a state control circuit which controls possible 2N?1 stage control states of the semiconductor integrated circuit. The generation unit generates the register transfer level description in such a way as to inhibit the operation of a stage circuit which need not be operated.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: May 8, 2012
    Assignees: NEC Corporation, Renesas Electronics Corporation
    Inventors: Takao Toi, Noritsugu Nakamura, Yoshinosuke Kato, Toru Awashima, Taro Fujii, Toshiro Kitaoka, Koichiro Furuta, Masato Motomura
  • Patent number: 8171444
    Abstract: A layout design support apparatus divides a first module obtained by dividing a semiconductor integrated circuit into a plurality of second modules in order to support a layout design for determining the disposition of each cell constituting the semiconductor integrated circuit and wiring, and makes the detailed design of a layout for determining the disposition of each cell in the second module and wiring for each second module.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: May 1, 2012
    Assignee: Fujitsu Limited
    Inventor: Ryoichi Yamashita
  • Patent number: 8171443
    Abstract: Computer-aided-design tools are provided that support real-time phase-locked loop reconfiguration with a single design compilation. Each design compilation may involve operations such as logic synthesis and place and route operations. A circuit designer who is designing an integrated circuit may supply circuit design data. The circuit design data may include design data for multiple configurations of a phase-locked loop. By using a phase-locked loop scan chain initialization file generator engine located in a CAD tool design input wizard, the computer-aided-design tools may produce multiple phase-locked loop initialization files without performing a design compilation. The CAD tools may process one or more initialization files and the circuit design data to produce output data. The output data may include configuration data to implement the circuit design.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: May 1, 2012
    Assignee: Altera Corporation
    Inventors: Ian Eu Meng Chan, Kumara Tharmalingam
  • Patent number: 8166429
    Abstract: Apparatuses and processes for distributing signals in an integrated circuit are disclosed. An embodiment to use a custom layer together with a base layer on an integrated circuit for testing the integrated circuit includes having a structured network on the base layer. The custom layer connects the network to logic elements on the integrated circuit. The network may be evenly distributed across the base layer of the integrated circuit. Even distribution of the network may reduce skew of the test signals. Buffers are also placed along the structured network. The buffers may be placed to ensure a deterministic test signals distribution. Unused buffers in the base layer may be tied off to reduce current leakage.
    Type: Grant
    Filed: October 17, 2008
    Date of Patent: April 24, 2012
    Assignee: Altera Corporation
    Inventors: Keong Hong Oh, Yee Liang Tan, Siang Poh Loh, Chooi Pei Lim
  • Patent number: 8161447
    Abstract: A method for designing an integrated circuit is disclosed. The method generally comprises the steps of (A) splitting a design layout of the integrated circuit into a plurality of tiles, (B) adding a plurality of tie-to cells to the design layout, wherein at least one of the tie-to cells generating a tie-to signal at a particular logical level is added into each of the tiles having at least one gate with an input fixed to the particular logical level and (C) routing the tie-to signal to each of the inputs within each of the tiles.
    Type: Grant
    Filed: May 11, 2009
    Date of Patent: April 17, 2012
    Assignee: LSI Corporation
    Inventors: Juergen Dirks, Matthias Dinter, Ralf Leuchter
  • Patent number: 8151236
    Abstract: Roughly described, a method for mask data preparation is described, for use with a preliminary mask layout that includes a starting polygon, the vertices of the starting polygon including I-points (vertices of the starting polygon having an interior angle greater than 90 degrees), including steps of developing a rectilinear partition tree on at least the I-points of the starting polygon, and using the edges of the partition tree to define the partition of the starting polygon into sub-polygons for mask writing.
    Type: Grant
    Filed: January 19, 2008
    Date of Patent: April 3, 2012
    Assignee: Synopsys, Inc.
    Inventors: Qing Su, Yongqiang Lu, Charles C. Chiang
  • Patent number: 8146031
    Abstract: A method for generating and evaluating a table model for circuit simulation in N dimensions employing mathematical expressions for modeling a device. The table model uses an unstructured N-dimensional grid for approximating the expressions.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: March 27, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Gang Peter Fang
  • Patent number: 8141022
    Abstract: A hierarchical design apparatus 1 for a semiconductor integrated circuit includes a hierarchical block placing unit 1-02 which places sets of hierarchical blocks onto a chip; a hierarchical block terminal placing unit 1-03 which places terminals of the hierarchical blocks so that for sets of hierarchical blocks having the same function, the hierarchical blocks coincide with each other in a coordinate of the corresponding terminal; an intra-hierarchical block layout unit 1-06 which executes the individual types of intra-hierarchical-block layout designs, meanwhile executes only a single type of intra-hierarchical-block layout design for the sets of hierarchical blocks having the same function; and a chip layout finishing unit 1-07 which replicates thus-obtained layout patterns, and thereby completing a layout design over the entire chip.
    Type: Grant
    Filed: July 5, 2007
    Date of Patent: March 20, 2012
    Assignee: NEC Corporation
    Inventor: Takumi Okamoto
  • Patent number: 8141020
    Abstract: Block placement within each device-containing layer is optimized under the constraint of a simultaneous optimization of interlayer connectivity between the device-containing layer and immediately adjacent device-containing layers. For each functional block within the device-containing layer, lateral heat flow is calculated to laterally adjacent functional blocks. If the lateral heat flow is less than a threshold value for a pair of adjacent functional blocks, placement of the functional blocks and/or interlayer interconnect structure array therebetween or modification of the interlayer interconnect structure array is performed. This routine is repeated for all adjacent pairs of functional blocks in each of the device-containing layers. Subsequently, block placement within each device-containing layer may be optimized under the constraint of a simultaneous optimization of interlayer connectivity across all device-containing layers.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: March 20, 2012
  • Patent number: 8136060
    Abstract: A method and mechanism is disclosed for identifying and tracking nets in an electrical design. A hierarchical design does not have to be flattened to perform the operation of identifying and tracking nets. To identify sets of connected shapes, instead of having to unfold the entire design hierarchy, only the specific instances of shapes falling within the geometric bounds of shapes identified as being part of a net needs to be unfolded to perform the search. When composing the list of nets for a hierarchical design, the unfolded shapes at other hierarchical levels of the design can be derived based upon virtual terminal structures that implicitly references nets and objects at other levels.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: March 13, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Eric Nequist
  • Publication number: 20120036491
    Abstract: Assigning pins to macro-blocks of an Integrated Circuit (IC) chip is described. The macro-block pin assignments are automatically placed using Constraint Programming (CP) techniques to position the pins on the periphery of a macro-block. Bus-ordering and pin-spacing constraints are performed considering all the nets forming the IC design simultaneously. The CP formulation includes modeling detailed and discrete constraints required to achieve an optimal pin-assignment. A stochastic CSP solver is used to define the cost function on search points giving full assignments to all the variables. The macro-block pins are ultimately moved to computed locations.
    Type: Application
    Filed: August 4, 2010
    Publication date: February 9, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shyam Ramji, Bella Dubrov, Eran Haggai, Ari Freund, Edward F. Mark, Timothy A. Schell
  • Patent number: 8112732
    Abstract: A system and computer program product for cell placement in an integrated circuit design that uses a calculated diffusion velocity determined from a density value in order to relocate the cells until the cell placement reduces the density below a predetermined threshold. The method acts to control the movement of different cells to reduce the density of the cells prior to legalization of the cell placement.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: February 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Charles J Alpert, Haoxing Ren, Paul Gerard Villarubia
  • Patent number: 8108819
    Abstract: A method, system, and computer usable program product for an improved object placement in integrated circuit design are provided in the illustrative embodiments. The IC design includes cells, the cells including electronic components, wires, and pins defined for interconnections of the IC. An initial placement corresponding to the design is received. A characteristic of the initial placement is estimated, which may include congestion, pin density, or both in an area of the initial placement. A transformation is performed on a part of the initial placement including the area to improve the characteristic. If the characteristic has improved in the transformed placement, a final placement corresponding to the transformed placement is produced. The transformation may be any combination of resizing an object, weighting a connection, clustering a plurality of objects, shortening of a route taken by a wire, and straightening a bend in a wire in the initial placement.
    Type: Grant
    Filed: April 8, 2009
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Gi-Joon Nam, Jarrod Alexander Roy, Natarajan Vishvanathan
  • Publication number: 20120017192
    Abstract: A layout system is described comprising a layout unit configured to layout cells in a mask design for a semiconductor chip based on library cells for a specified process node; a non-critical path determination unit configured to determine a non-critical path in the semiconductor chip; a cell determination unit configured to determine a group of cells in the mask design that form a part of the non-critical path and determine the corresponding library cell for at least one of the group of cells; a library cell modifying unit configured to modify one or more corresponding library cells to form a corresponding modified library cell; and a cell replacement unit configured to replace a library cell in the group of cells in the mask design that form a part of the non-critical path with the corresponding modified library cell.
    Type: Application
    Filed: August 9, 2010
    Publication date: January 19, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chewn-Pu JOU, Ming-Tsun LIN, Fu-Lung HSUEH, Shauh-Teh JUANG
  • Publication number: 20120007434
    Abstract: Three-dimensional photovoltaic devices and power conversion structures associated therewith are provided.
    Type: Application
    Filed: February 4, 2011
    Publication date: January 12, 2012
    Applicant: MASSACHUSETTS INSTITUTE OF TECHNOLOGY
    Inventors: David John Perreault, Vladimir Bulovic, Jeffrey C. Grossman, Marco Bernardi, Nicola Ferralis
  • Patent number: 8091059
    Abstract: A method for cell placement in an integrated circuit design that uses a calculated diffusion velocity determined from a density value in order to relocate the cells until the cell placement reduces the density below a predetermined threshold. The method acts to control the movement of different cells to reduce the density of the cells prior to legalization of the cell placement.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: January 3, 2012
    Assignee: International Business Machines Corporation
    Inventors: Charles J Alpert, Haxoing Ren, Paul Gerard Villarubia
  • Patent number: 8091054
    Abstract: A method of optimizing the signal propagation speed on a wiring layout is provided. In general, the method accounts for and uses inductance effects caused by the propagation of a high-speed signal on a signal wire surrounded by parallel ground wires. In particular, one of the physical parameters defining the wiring layout may be adjusted to create an rlc relationship in the wiring layout that maximizes the signal propagation speed. The physical parameter that is adjusted may be, for example, the wire separation between the signal wire and the ground wires or the width of the ground wires. The disclosed method may also be applied to a wiring layout having multiple branches, such as a clock tree. In this context, a first branch may be optimized using the disclosed method. Downstream branches may then be adjusted so that the impedances at the junction between the branches are substantially equal.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: January 3, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar
  • Patent number: 8082139
    Abstract: Methods and systems for simulating an electronic system in a high level modeling system (HLMS). A design block and certain signals of the electronic system are selected. The selected signals include internal signals of the design block that are not ports of the design block. The electronic system is simulated in the HLMS, which includes a hardware-based co-simulation platform and a software-based co-simulation platform. A hardware realization of the design block is automatically generated and the design block is emulated in the hardware based co-simulation platform using the hardware realization of the design block. A sequence of values is displayed for the selected signals of the electronic system. During the simulation of the electronic system in the HLMS, the sequence of values for the internal signals of the design block and another sequence of values for the ports of the design block are transferred between the co-simulation platforms.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: December 20, 2011
    Assignee: Xilinx, Inc.
    Inventors: Jonathan B. Ballagh, Michael D. Hirsch
  • Patent number: 8082532
    Abstract: A computer-implemented method of implementing a circuit design within an integrated circuit (IC) can include, within an undirected graph representing the circuit design comprising nodes and edges, wherein each node represents a complex function block (CFB) or a pre-placed component of the circuit design and each edge represents at least one connection linking a pair of CFBs of the circuit design, determining an edge weight for each edge. The CFBs can be initially placed and a distance between each pair of CFBs joined by an edge of the undirected graph can be calculated. The CFB placement can be annealed by minimizing a cost function that calculates, for each edge, a product of the edge weight and the distance between the pair of CFBs joined by the edge. The cost function also can sum the products for each edge. The CFB placement can be stored.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: December 20, 2011
    Assignee: Xilinx, Inc.
    Inventors: Guenter Stenz, Rajat Aggarwal
  • Patent number: 8078998
    Abstract: Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes using a first mask to pattern a gate material forming a plurality of first and second features. The first features form gate electrodes of the semiconductor devices, whereas the second features are dummy electrodes. Based on the location of these dummy electrodes, selected dummy electrodes are removed using a second mask. The use of the method provides greater flexibility in tailoring individual devices for different objectives.
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: December 13, 2011
    Assignee: Infineon Technologies AG
    Inventors: Henning Haffner, Manfred Eller, Richard Lindsay
  • Patent number: 8069429
    Abstract: A detailed placement process which optimizes cell placement with up to one hundred percent densities in a linear run time. The output from a conjugate-gradient coarse placement process is input to the detailed placement process. A dynamic programming technique is used to optimize cell placement by swapping cells between two or more rows. The search space is pruned beforehand. A greedy cleanup phase using an incremental row placer is used. Thereby, the detailed placement process handles congestion driven placements characterized by non-uniform densities expeditiously and efficiently.
    Type: Grant
    Filed: June 16, 2008
    Date of Patent: November 29, 2011
    Assignee: Synopsys, Inc.
    Inventors: Ronald Miller, William C. Naylor, Yiu-Chung Wong
  • Patent number: 8056041
    Abstract: An apparatus of preventing congestive placement is provided. The apparatus comprises a judging module, a pattern generating module, and a placement module. The judging module judges whether a circuit layout comprises a congestive region according to a judging rule. When a judgment result of the judging module is affirmative, the pattern generating module generates a redistribution pattern with a density distribution of blockages. The density distribution gradually decreases outward. The placement module regards the congestive region as the center redistributes the blockages and electronic cells according to the redistribution pattern.
    Type: Grant
    Filed: May 25, 2009
    Date of Patent: November 8, 2011
    Assignee: MStar Semiconductor, Inc.
    Inventors: Chen-Hsing Lo, Chien-Pang Lu
  • Patent number: 8056045
    Abstract: In a circuit simulation system, a storage section is configured to store a circuit data, an analysis condition data and an output data. An initial data setting section reads out the circuit data and the analysis condition data from the storage section and sets an initial data and a convergence condition for a solution calculating process based on the circuit data and the analysis condition data. A processing section generates a circuit equation to each of a voltage variable and a current variable based on the circuit data, and executes the solution calculating process based on the initial data to calculate a solution. A convergence determining section executes a convergence determining process of whether or not the solution meets the convergence condition, on the voltage variable. An output section stores the solution into the output data when it is determined to meet the convergence condition.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: November 8, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Makoto Sakuragi
  • Patent number: 8046728
    Abstract: A first library cell and a second library cell each includes a plurality of metal layers, and a metal track direction of the odd metal layers of the first library cell is perpendicular to that of the odd metal layers of the second library cell. An integrated circuit design method applied to these library cells includes the steps of rotating the second library cell to cause the metal track direction of the odd metal layers of the second library cell to be parallel to that of the odd metal layers of the first library cell, and placing the first library cell and the second library cell in an identical integrated circuit design.
    Type: Grant
    Filed: March 23, 2009
    Date of Patent: October 25, 2011
    Assignee: Realtek Semiconductor Corp.
    Inventor: Chien-Cheng Liu
  • Patent number: 8032338
    Abstract: A computer-implemented method for the design of a power supply is disclosed. Multiple lists of power supply design variables are provided. The method includes simulating a first power supply design in response to power supply design variables selected from these multiple lists of variables. The method then calculates a score of the first power supply design and determines whether the score is better than the score of any power supply design included in a set of power supply designs. If so, the method replaces a power supply design having a worst score from the set of power supply designs with the first power supply design.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: October 4, 2011
    Assignee: Power Integrations, Inc.
    Inventors: Arkady Akselrod, Sameer Kelkar, Timothy E. W. Starr
  • Publication number: 20110219346
    Abstract: A congestive placement preventing apparatus for modifying a circuit layout includes an analyzing module, a defining module and an extension module. The analyzing module performs a congestion analysis on the circuit layout to generate an analysis result. The defining module defines a congestion region and a share region adjacent to the congestion region on the circuit layout according to the analysis result. A density of electronic cells of the congestion region is higher than that of electronic cells of the share region. The extension module arranges a plurality of electronic cells in the congestion region to the congestion region and the share region, thereby reducing the density of electronic cells in the congestion region.
    Type: Application
    Filed: March 4, 2011
    Publication date: September 8, 2011
    Applicant: MStar Semiconductor, Inc.
    Inventors: Chen-Hsing Lo, Chien-Pang Lu