Global Patents (Class 716/129)
  • Patent number: 9881118
    Abstract: A method for routing a circuit device having an array of bump pads includes identifying a routing direction associated with a bump, generating a power strap and a ground strap based on the routing direction, forming a routing channel in accordance with the routing direction, setting a start point and an endpoint in the routing channel, and connecting the start point and the endpoint using a wire within the routing channel. The method further includes placing the start point to a power or ground strap in response to a target power/ground ratio.
    Type: Grant
    Filed: December 18, 2014
    Date of Patent: January 30, 2018
    Assignee: SYNOPSYS, INC.
    Inventors: Hsien-Shih Chiu, Kai-Shun Hu
  • Patent number: 9881119
    Abstract: Disclosed are techniques for generating a parasitic-aware simulation schematic across multiple design fabrics. These techniques identify a first extracted model from existing extracted models for a first circuit component design in a first layout in a first design fabric of an electronic design that spans across multiple design fabrics. These techniques further generate a simulation schematic by inserting the first extracted model into the simulation schematic. In addition, a simulation may be performed with the simulation schematic to generate simulation results. Schematic models, if existing, may also be used to revise the simulation schematic. For circuit component designs corresponding to no extract models or schematic models, one or more extracted models placeable in the simulation schematic may also be constructed to update the simulation schematic.
    Type: Grant
    Filed: June 29, 2015
    Date of Patent: January 30, 2018
    Assignee: Cadence Design Systems, Inc.
    Inventors: Taranjit Singh Kukal, Steven R. Durrill, Arnold Ginetti
  • Patent number: 9852252
    Abstract: A standard cell library and a method of using the same may include information regarding a plurality of standard cells stored on a non-transitory computer-readable storage medium, wherein at least one of the plurality of standard cells includes a pin through which an input signal or an output signal of the at least one standard cell passes and including first and second regions perpendicular to a stack direction. When the via is disposed in the pin, the second region can provide a resistance value of the via smaller than that of the first region. The standard cell library may further include marker information corresponding to the second region.
    Type: Grant
    Filed: July 16, 2015
    Date of Patent: December 26, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-hoon Baek, Tae-joong Song, Jae-ho Park, Gi-young Yang, Jin-tae Kim, Hyo-sig Won
  • Patent number: 9754878
    Abstract: A plurality of regular wires are formed within a given chip level, each having a linear-shape with a length extending in a first direction and a width extending in a second direction perpendicular to the first direction. The plurality of regular wires are positioned according to a fixed pitch such that a distance as measured in the second direction between lengthwise centerlines of any two regular wires is an integer multiple of the fixed pitch. At least one irregular wire is formed within the given chip level and within a region bounded by the plurality of regular wires. Each irregular wire has a linear-shape with a length extending in the first direction and a width extending in the second direction. A distance as measured in the second direction between lengthwise centerlines of any irregular wire and any regular wire is not equal to an integer multiple of the fixed pitch.
    Type: Grant
    Filed: May 20, 2013
    Date of Patent: September 5, 2017
    Assignee: Tela Innovations, Inc.
    Inventors: Stephen Kornachuk, James Mali, Carole Lambert, Scott T. Becker, Brian Reed
  • Patent number: 9721056
    Abstract: A method for designing an integrated circuit (IC) includes, in part, dividing the wires disposed in the IC into a multitude of segments each having a length extending from a first end point to a second end point. Each segment is then widened without overlapping any adjacent object. As an example, an intermediate, or expanded, segment is formed that includes the first and the second end points and has a size to overlap with an adjacent object. The method includes identifying regions in the adjacent objects that overlap with the expanded segment. For each of the identified regions, an expanded region is formed, which has a shape and size to enclose the identified object with additional spacing around the perimeter. Next, the size of the expanded segment is reduced to form the wide segment such that the wide segment does not overlap any of the adjacent expanded objects.
    Type: Grant
    Filed: December 18, 2014
    Date of Patent: August 1, 2017
    Assignee: SYNOPSYS, INC.
    Inventors: Hsien-Shih Chiu, Kai-Shun Hu
  • Patent number: 9633163
    Abstract: The present disclosure relates to a computer-implemented method for electronic design automation. The method may include providing, using one or more processors, an electronic design and visually displaying a plurality of possible route sets associated with the electronic design at a graphical user interface. The method may include providing an option to select between the plurality of possible route sets at the graphical user interface.
    Type: Grant
    Filed: January 5, 2015
    Date of Patent: April 25, 2017
    Assignee: Cadence Design Systems, Inc.
    Inventors: Hitesh Mohan Kumar, Sagar Kumar, Ankur Gupta
  • Patent number: 9558313
    Abstract: A system and method for global routing that includes receiving nets that need to be routed and capacity constraints, ordering, using processing circuitry, the nets, routing, using the processing circuitry, the nets based on a maze routing with framing method, determining, using the processing circuitry, whether the routing is congestion free, selecting, using the processing circuitry, a subset of the nets based on a game theory method when the routing is not congestion free, applying a rip-up and re-route process on the subset of the nets, and repeating the selecting and applying steps until the routing is congestion free.
    Type: Grant
    Filed: September 8, 2015
    Date of Patent: January 31, 2017
    Assignee: King Fahd University of Petroleum and Minerals
    Inventors: Umair Farooq Siddiqi, Sadiq M. Sait
  • Patent number: 9552453
    Abstract: In the physical design of an integrated circuit, comparing metal fill locations with an average least resistance path (LRP) for a cell and then filling the location with either power or ground tiles based on the comparison. For each metal layer, all of the metal fill locations are determined and nearby metal fills, i.e., those within a predetermined radius of a located metal fill are connected. A Design Rule Check (DRC) is performed to ensure that connected metal fills meet design specifications, for example, that connected metal fills are not too close to a signal line. The metal fill method improves the power integrity of the design.
    Type: Grant
    Filed: September 22, 2015
    Date of Patent: January 24, 2017
    Assignee: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Rishabh Agarwal, Sumit Kumar Jha
  • Patent number: 9519732
    Abstract: Some embodiments correlate various manufacturing or design information or data with patterns used to represent electronic designs and provide pertinent pattern-based information to metrology, fabrication, or testing tools to enhance their performances of their intended functions. Some embodiments further utilize cross-design or cross-process analytics to perform various pattern-based analyses on electronic designs. Some embodiments perform squish analysis with a squish pattern library on an electronic design to represent the electronic design with squish patterns by performing pattern matching, pattern decomposition, and pattern classification process.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: December 13, 2016
    Assignee: Cadence Design Systems, Inc.
    Inventors: Frank E. Gennari, Matthew Moskewicz, Ya-Chieh Lai
  • Patent number: 9514265
    Abstract: Embodiments relate to managing layer promotion of interconnects in the routing phase of integrated circuit design. An aspect includes a system to manage layer promotion in a routing phase of integrated circuit design. The system includes a memory device to store instructions, and a processor to execute the instructions to identify a set of candidate interconnects for layer promotion, score and sort the set of candidate interconnects according to a respective score to thereby establish a respective rank, assess routing demand and resource availability based on layer promotion of the set of candidate interconnects, and manage the set of candidate interconnects based on the respective rank and the resource availability, the processor assessing the routing demand and resource availability and managing the set of candidate interconnects iteratively, wherein the processor, in at least one iteration, generates a second set of candidate interconnects by reducing the set of candidate interconnects.
    Type: Grant
    Filed: November 17, 2014
    Date of Patent: December 6, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Christopher J. Berry, Lakshmi Reddy, Sourav Saha
  • Patent number: 9495502
    Abstract: Embodiments relate to managing layer promotion of interconnects in the routing phase of integrated circuit design. An aspect includes reducing the set of candidate interconnects for layer promotion based on resource availability. A method of managing includes identifying a set of candidate interconnects for the layer promotion, scoring and sorting the set of candidate interconnects according to a respective score, thereby establishing a respective rank, and assessing routing demand and resource availability based on promoting the set of candidate interconnects. The method also includes managing the set of candidate interconnects based on the respective rank and the assessing, the assessing and the managing being done iteratively and the managing including, in at least one iteration, generating a second set of candidate interconnects based on reducing the set of candidate interconnects.
    Type: Grant
    Filed: May 28, 2014
    Date of Patent: November 15, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Christopher J. Berry, Lakshmi Reddy, Sourav Saha
  • Patent number: 9477027
    Abstract: A back light structure for a keyboard with back light includes a supporting plate, a light guiding plate, a flexible circuit board, and a light-emitting component. Keyswitch structures of the keyboard are disposed on the supporting plate. The light guiding plate is disposed under the supporting plate and has a through hole and a lateral surface. The circuit board adheres onto both a lower surface of the light guiding plate and a bottom surface of the supporting plate and covers the lateral surface. The light-emitting component is disposed on the circuit board in the through hole. Thereby, the circuit board also performs as a light shading structure for the lateral surface. A light reflection sheet need not cover both the lateral surface and the circuit board for avoiding light leakage but adheres onto only the lower surface, conducive to reducing the structural thickness of the back light structure.
    Type: Grant
    Filed: January 21, 2014
    Date of Patent: October 25, 2016
    Assignees: DARFON ELECTRONICS (SUZHOU) CO., LTD., DARFON ELECTRONICS CORP.
    Inventor: Po-Wei Tsai
  • Patent number: 9384315
    Abstract: A method for the automatic design of an electronic circuit includes operations for evaluation of the thermal effects in the electronic circuit. The method generates a layout of the electronic circuit. Abstract data at the substrate level associated to the layout of the electronic circuit is then generated. A grid of partitioning is generated with respect to a view regarding the aforesaid abstract into meshes and nodes. The grid is applied to the substrate. On the basis of the grid (TG), a list of nodes or netlist representing a thermal network that represents the thermal behavior of the substrate or of its portions or elements is extracted. The netlist is useful in simulation operations, in particular of a SPICE type, for making an evaluation of thermal effects in the electronic circuit.
    Type: Grant
    Filed: July 7, 2014
    Date of Patent: July 5, 2016
    Assignee: STMICROELECTRONICS S.R.L.
    Inventors: Mattia Monetti, Alberto Balzarotti
  • Patent number: 9384165
    Abstract: A plurality of processor tiles are provided, each processor tile including a processor core. An interconnection network interconnects the processor cores and enables transfer of data among the processor cores. An extension network connects input/output ports of the interconnection network to input/output ports of one or more peripheral devices, each input/output port of the interconnection network being associated with one of the processor tiles such that each input/output port of the interconnection network sends input data to the corresponding processor tile and receives output data from the corresponding processor tile. The extension network is configurable such that a mapping between input/output ports of the interconnection network and input/output ports of the one or more peripheral devices is configurable.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: July 5, 2016
    Assignee: Tilera Corporation
    Inventors: Liewei Bao, Ian Rudolf Bratt
  • Patent number: 9372952
    Abstract: One aspect identifies an interconnect and associated design rule(s) and moves a portion of the interconnect to an adjacent track by using a spreading process on a one-dimensional design data based on the design rule(s) to determine whether the interconnect including the moved portion provides a DRC clean implementation. This aspect examines an interconnect in its entirety without being confined within a prescribed boundary of a fixed region in the layout. The one-dimensional design data provides expedient runtime and may be converted back into two-dimensional form for the layout. Another aspect iterates through multiple spreading distances to route or modify interconnects in a layout by performing multiple Boolean operations on the interconnect and adjacent shape(s) to determine the final form of the newly created or modified interconnect complying with various design rules.
    Type: Grant
    Filed: March 7, 2014
    Date of Patent: June 21, 2016
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ganping Sun, Pujiang Huang, Jianmin Li, Taufik Arifin
  • Patent number: 9331016
    Abstract: An SOC apparatus includes a plurality of gate interconnects with a minimum pitch g, a plurality of metal interconnects with a minimum pitch m, and a plurality of vias interconnecting the gate interconnects and the metal interconnects. The vias have a minimum pitch v. The values m, g, and v are such that g2+m2?v2 and an LCM of g and m is less than 20 g. The SOC apparatus may further include a second plurality of metal interconnects with a minimum pitch of m2, where m2>m and the LCM of g, m, and m2 is less than 20 g.
    Type: Grant
    Filed: July 22, 2014
    Date of Patent: May 3, 2016
    Assignee: QUALCOMM Incorporated
    Inventors: Xiangdong Chen, Ohsang Kwon, Esin Terzioglu, Hadi Bunnalim
  • Patent number: 9330221
    Abstract: Methods for routing a metal routing layer based on mask design rules and the resulting devices are disclosed. Embodiments may include laying-out continuous metal lines in a semiconductor design layout, and routing, by a processor, a metal routing layer using the continuous metal lines according to placement of cut or block masks based on cut or block mask design rules.
    Type: Grant
    Filed: May 23, 2014
    Date of Patent: May 3, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Lei Yuan, Jongwook Kye, Harry J. Levinson
  • Patent number: 9245870
    Abstract: A circuit includes a first die having a first array of exposed data nodes, and a second die having a second array of exposed data nodes, wherein a given data node of the first array corresponds to a respective data node on the second array, further wherein the first array and the second array share a spatial arrangement of the data nodes, wherein the first die has data inputs and sequential logic circuits for each of the data nodes of the first array on a first side of the first array, and wherein the second die has data outputs and sequential logic circuits for each of the data nodes of the second array on a second side of the second array, the first and second sides being different.
    Type: Grant
    Filed: October 17, 2014
    Date of Patent: January 26, 2016
    Assignee: QUALCOMM Incorporated
    Inventors: LuVerne Ray Peterson, Thomas Clark Bryan, Alvin Leng Sun Loke, Tin Tin Wee, Gregory Francis Lynch, Stephen Robert Knol
  • Patent number: 9183343
    Abstract: Various embodiments implement high current carrying multi-strands of interconnects between two pins in a region of interest within an electronic circuit by performing area-based searches for viable routing solutions using valid intervals. Certain pins that are within a predetermined proximity to each other may be optionally clustered to form a single, wide pin. The region of interest may be first processed to form one or more sets of spacetiles, or the geometries in the region of interest may be projected onto a boundary of the region of interest, to determine the valid interval(s) on along the boundary. The valid intervals may then be used by a router to implement the multi-strands of interconnects. The router also considers the physical, electrical, and manufacturing requirement(s) in implementing the multi-strands of interconnects.
    Type: Grant
    Filed: December 4, 2012
    Date of Patent: November 10, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jeffrey S. Salowe, Viral Mankad, Supriya Ananthram
  • Patent number: 9147167
    Abstract: Systems, methods, and other embodiments associated with similarity analysis using tri-point arbitration are described. In one embodiment, a method includes selecting a data point pair and an arbiter point from a data set. A tri-point arbitration coefficient (?TAC) is calculated for data point pairs based, at least in part, on a distance between the first and second data points and the arbiter point. A similarity metric is determined for the data set based, at least in part, on an aggregation of tri-point arbitration coefficients for data point pairs in the set of data points using the selected arbiter point.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: September 29, 2015
    Assignee: ORACLE INTERNATIONAL CORPORATION
    Inventors: Aleksey M. Urmanov, Anton A. Bougaev
  • Patent number: 9104830
    Abstract: Disclosed are methods, systems, and articles of manufacture for assigning track patterns to regions of an electronic design in one or more embodiments. One aspect tessellates an area on a layer of an electronic design that is subject to one or more track pattern requirements and dynamically maintains the tessellation structure from the tessellation process for early stages of the design process such as floorplanning, placement, or routing. Another aspect identifies or creates multiple strips or multiple regions for an area on a layer of an electronic design and assigns or associates a track pattern or a track pattern group to each of the multiple strips or multiple regions. In this latter aspect, a track pattern or a track pattern group is no longer required to apply to the entire layer.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: August 11, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jeffrey Salowe, Satish Raj
  • Patent number: 9098658
    Abstract: A method and NoC design tool is disclosed that automatically maps the paths listed in a timing report and the unit size in an area report to the topology of a NoC and displays the paths and unit sizes in a GUI. The tool can also automatically add pipeline stages, separated by the maximum delay allowed in the timing budget, in order to achieve timing closure in an automated way.
    Type: Grant
    Filed: May 21, 2013
    Date of Patent: August 4, 2015
    Assignee: Qualcomm Technologies, Inc.
    Inventors: Daniel Michel, Xavier Van Ruymbeke, Pascal Godet, Xavier Leloup
  • Patent number: 9047436
    Abstract: A computer-based system and method for modeling integrated circuit congestion and wire distribution determines a boundary where a tile congestion corresponding to a first layer group is equivalent to a first blockage ratio corresponding to a second layer group, formulates a piece-wise linear formula that relates the tile congestion to a number of wires of a two-dimensional tile, and distributes a portion of the number of wires to a layer of the tile based on the tile congestion.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: June 2, 2015
    Assignee: International Business Machines Corporation
    Inventors: Charles J. Alpert, Zhuo Li, Chin Ngai Sze, Jia Wang, Yaoguang Wei
  • Patent number: 9038013
    Abstract: Methods and apparatuses for circuit design are described. In one embodiment, the method comprises determining a distribution of nets of a circuit, the distribution of the nets comprising numbers of blocks that each of the nets has in each of a plurality of partitions of the circuit in a partitioning solution, moving a first block of the circuit from a source partition to a destination partition to modify the partitioning solution, and updating the distribution of the nets after the moving.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: May 19, 2015
    Assignee: Synopsys, Inc.
    Inventors: Awartika Pandey, Drazen Borkovic, Kenneth S. McElvain
  • Patent number: 9026976
    Abstract: In congestion aware point-to-point routing using a random point in an integrated circuit (IC) design, the random point is selected in a bounding area defined in a layout of the IC design. A set of pattern routes is constructed between a source pin and a sink pin in the bounding area, a pattern route in the set of pattern routes passing through the random point. A set of congestion cost corresponding to the set of pattern routes is computed. A congestion cost in the set of congestion costs corresponds to a pattern route in the set of pattern routes. A preferred pattern route is selected from the set of pattern routes, the preferred pattern route having the smallest congestion cost in the set of congestion costs. The preferred pattern route is output as a point-to-point route between the source pin and the sink pin.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: May 5, 2015
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Zhuo Li, Chin Ngai Sze, Yaoguang Wei
  • Patent number: 9026975
    Abstract: A semiconductor integrated circuit designing method capable of minimizing a parasitic capacitance generated by an overhead in conductive lines, especially a gate line, a semiconductor integrated circuit according to the designing method, and a fabricating method thereof are provided. A method of designing a semiconductor integrated circuit having a FinFET architecture, includes: performing a pre-simulation of the semiconductor integrated circuit to be designed; designing a layout of components of the semiconductor integrated circuit based on a result of the pre-simulation, the components comprising first and second device areas and a first conductive line extending across the first and second device areas; modifying a first cutting area, that is arranged between the first and second device areas and electrically cuts the first conductive line, according to at least one design rule to minimize an overhead of the first conductive line created by the first cutting area.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: May 5, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-joong Song, Pil-un Ko, Gyu-hong Kim, Jong-hoon Jung
  • Patent number: 9009646
    Abstract: A method for routing a design may comprise receiving a design for implementing in a target device, wherein the design includes an input/output (I/O) signal of a functional block, and wherein the functional block is assigned to a physical component of the target device; based on the design and on a routing resource graph representing the target device, calculating a route including the physical component and a physical pin of the target device; and assigning the physical pin of the target device to the I/O signal based on the calculated route.
    Type: Grant
    Filed: December 31, 2012
    Date of Patent: April 14, 2015
    Assignee: Cypress Semiconductor Corporation
    Inventors: Haneef Mohammed, Kyle Kearney
  • Patent number: 9003350
    Abstract: A computer implemented method for routing a net includes selecting, using one or more computer systems, a first spine routing track from a first multitude of routing tracks in accordance with a first cost function, and further in accordance with data associated with the net and the first multitude of routing tracks. The method further includes generating, using one or more computer systems, a first spine wire on the selected first spine routing track.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: April 7, 2015
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Fong-Yuan Chang, Wei-Shun Chuang, Sheng-Hsiung Chen, Hsian-Ho Chang, Ruey-Shi Rau
  • Patent number: 9003349
    Abstract: Disclosed are methods, systems, and articles of manufacture for implementing a physical electronic design with area-bounded tracks. One aspect identifies an area in an electronic design and a track pattern associated with the area, identifies active tracks in the track pattern, and creates spacetiles with the active tracks. This aspect uses area-based search probes based on spacetiles to find viable implementation solutions to implement the area in the electronic design. Another aspect identifies a tracked area associated with a track pattern and a trackless area and use spacetile(s) and a via spacetile layer to transition between the tracked area and the trackless area for implementation of the electronic design in the tracked or the trackless area of the electronic design.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: April 7, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventor: Jeffrey Salowe
  • Publication number: 20150095872
    Abstract: For global routing using a graphics processing unit (GPU), a method routes a net of node interconnections for a semiconductor design. In addition, the method decomposes the net into subnets. Each subnet has no shared paths. The method further identifies a congested region of the routed net that exceeds routing capacities. In addition, the method correlates the congested region with a plurality of first subnets with workloads within the congested region. The method routes the subnets in parallel using the GPU.
    Type: Application
    Filed: October 2, 2014
    Publication date: April 2, 2015
    Applicant: Utah State University
    Inventors: Yiding Han, Koushik Chakraborty, Sanghamitra Roy
  • Publication number: 20150095871
    Abstract: A circuit design support method includes obtaining layout data that indicates positions of a plurality of clock receivers disposed in a circuit and positions of first clock wires disposed in the circuit; and calculating, by a computer, a value corresponding to lengths of wires respectively connecting the clock receivers to second clock wires on the basis of the obtained layout data, the value being calculated for each of a plurality of combinations of a count of the second clock wires and positions of the second clock wires, the second clock wires being disposed in a wiring layer of the circuit and being perpendicular to the first clock wires.
    Type: Application
    Filed: September 26, 2014
    Publication date: April 2, 2015
    Applicant: Fujitsu Optical Components Limited
    Inventor: Tomoyasu Kitaura
  • Patent number: 8990756
    Abstract: A computer-implemented method for routing at least one conductor includes generating the at least one conductor within a bounded region on a planar surface in accordance with a template, and placing at least one slit in the conductor when the conductor overlaps a specified region of the bounded region in accordance with a specified pattern.
    Type: Grant
    Filed: November 21, 2013
    Date of Patent: March 24, 2015
    Assignee: Synopsys Taiwan Co., Ltd.
    Inventors: Hsin-Po Wang, Song Yuan, Hung-Shih Wang
  • Patent number: 8984470
    Abstract: One embodiment of the present invention provides a system that concurrently performs redundant via insertion and timing optimization during routing of an integrated circuit (IC) chip design. During operation, the system performs an initial routing on the IC chip design to obtain a routing solution, which includes a set of vias. The system then performs a redundant-via-insertion operation on the routing solution, wherein the redundant-via-insertion operation attempts to modify a via within the set of vias into a redundant via. Next, the system performs a timing optimization on the routing solution by iteratively: (1) performing a timing analysis on the routing solution; (2) performing a logic optimization on the routing solution; and (3) performing an incremental routing adjustment on the routing solution, wherein the incremental routing adjustment adjusts the redundant vias.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: March 17, 2015
    Assignee: Synopsys, Inc.
    Inventors: Abhijit Chakanakar, Tong Gao
  • Patent number: 8978003
    Abstract: A method of making a semiconductor device includes arranging a first cell and a second cell, determining, by a processor, a first pattern density of a first cell, determining a second pattern density of a second cell, determining a pattern density gradient from the first pattern density to the second pattern density, determining whether the pattern density gradient exceeds a pattern density gradient threshold, and indicating a design change if the pattern density gradient exceeds than the pattern density gradient threshold.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: March 10, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Min Fu, Wan-Yu Lo, Chin-Chou Liu, Huan Chi Tseng
  • Publication number: 20150067632
    Abstract: A computer implemented method for routing preservation is presented. The method includes decomposing, using the computer, a geometric relationship between a first module, a second module, and a routing path of a source layout, when the computer is invoked to route the solution path. The method further includes disposing, using the computer, the routing path in a solution layout in accordance with the geometric relationship. The solution layout is not defined by a scaling of the source layout.
    Type: Application
    Filed: September 2, 2014
    Publication date: March 5, 2015
    Inventors: Tung-Chieh CHEN, Po-Cheng Pan, Ching-Yu Chin, Hung-Ming Chen
  • Patent number: 8972916
    Abstract: A method for checking the inter-chip connectivity of a three-dimensional (3D) integrated circuit (IC) generally comprises receiving a design file for each of a plurality of chips of the 3D IC and generating a plurality of inter-layer ports to be shared between at least two of the of chips based on the design files for each of the chips. A layout without the share ports for each of the chips based on the design files for each of the chips is generated and a layout versus schematic (LVS) check is conducted for each of the generated layouts by using the identified inter-layer ports.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: March 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yao-Jen Hsieh, Kai-Ming Liu
  • Patent number: 8972922
    Abstract: A method includes forming a connection between a first metal layer and a second metal layer. The second metal layer is over the first metal layer. A via location for a first via between the first metal layer and the second metal layer is identified. Additional locations for first additional vias are determined. The first additional vias are determined to be necessary for stress migration issues. Additional locations necessary for second additional vias are determined. The second additional vias are determined to be necessary for electromigration issues. The first via and the one of the group consisting of (i) the first additional vias and second additional vias (ii) the first additional vias plus a number of vias sufficient for electromigration issues taking into account that the first additional vias, after taking into account the stress migration issues, still have an effective via number greater than zero.
    Type: Grant
    Filed: December 4, 2013
    Date of Patent: March 3, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Douglas M. Reber, Mehul D. Shroff, Edward O. Travis
  • Publication number: 20150054592
    Abstract: A vertical three dimensional (3D) microstrip line structure for improved tunable characteristic impedance, methods of manufacturing the same and design structures are provided. More specifically, a method is provided that includes forming a first microstrip line structure within a back end of the line (BEOL) stack. The method further includes forming a second microstrip line structure separated from the BEOL stack by a predetermined horizontal distance.
    Type: Application
    Filed: August 23, 2013
    Publication date: February 26, 2015
    Applicants: University of South Carolina, INTERNATIIONAL BUSINESS MACHINES CORPORATION
    Inventors: Barbara S. DEWITT, Essam MINA, BM Farid RAHMAN, Guoan WANG
  • Publication number: 20150054595
    Abstract: A three dimensional (3D) branchline coupler using through silicon vias (TSV), methods of manufacturing the same and design structures are disclosed. The method includes forming a first waveguide structure in a first dielectric material. The method further includes forming a second waveguide structure in a second dielectric material. The method further includes forming through silicon vias through a substrate formed between the first dielectric material and the second dielectric material, which connects the first waveguide structure to the second waveguide structure.
    Type: Application
    Filed: August 23, 2013
    Publication date: February 26, 2015
    Applicants: University of South Carolina, INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Barbara S. DEWITT, Essam MINA, BM Farid RAHMAN, Guoan WANG, Wayne H. WOODS, JR.
  • Patent number: 8966427
    Abstract: Methods and systems for improving the reliability of C4 solder ball contacts performed at the design stage to reduce the incidence of thermally-induced failures, including those due to electromigration and thermal cycling.
    Type: Grant
    Filed: December 12, 2013
    Date of Patent: February 24, 2015
    Assignee: The Regents of the University of California
    Inventors: Matthew Guthaus, Sheldon Logan
  • Patent number: 8966419
    Abstract: Systems and methods are disclosed for testing a stack of dies and inserting a repair circuit which, when enabled, compensates for a delay defect in the die stack, particularly where the defect is located in the inter-die data transfer path. Intra-die and inter-die slack values are determined to establish which die or dies in the die stack would benefit from the insertion of a repair circuit.
    Type: Grant
    Filed: July 11, 2012
    Date of Patent: February 24, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sandeep Kumar Goel, Ashok Mehta
  • Patent number: 8959473
    Abstract: Multiple level spine routing is disclosed. In some embodiments, in response to receiving a specification of a plurality of nets and a specification of a set of routing tracks available for main spines, a main spine routing track is assigned to each of the plurality of nets based at least in part on a cost function and main spine wires are generated on the assigned main spine routing tracks for each of the plurality of nets.
    Type: Grant
    Filed: November 4, 2011
    Date of Patent: February 17, 2015
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Fong-Yuan Chang, Wei-Shun Chuang, Sheng-Hsiung Chen, Hsian-Ho Chang, Ruey-Shi Rau
  • Patent number: 8959474
    Abstract: Routing a multi-fanout net includes selecting a driver component of the multi-fanout net of a circuit design, wherein the circuit design is specified programmatically, and determining a plurality of targets of the driver component. A source wave is created at each of a plurality of nodes of the driver component. One target is assigned to each source wave. Each source wave is expanded.
    Type: Grant
    Filed: April 2, 2014
    Date of Patent: February 17, 2015
    Assignee: Xilinx, Inc.
    Inventors: Grigor S. Gasparyan, Garik Mkrtchyan
  • Patent number: 8954916
    Abstract: A test circuit includes a substrate, a wiring section having a plurality of pieces of wiring, and a device-under-test section formed on the substrate, and having a device-under-test main body and a plurality of connecting electrodes for establishing connection between the main body and the plurality of pieces of wiring, an extending direction of a straight line connecting a position of a center of rotation in a plane of pattern formation of the main body and each electrodes being inclined at a predetermined angle to an extending direction of the pieces of wiring, and the connecting electrodes being arranged at positions such that connection relation between the electrodes and the plurality of pieces of wiring is maintained even when the main body and the electrodes are rotated about the position of the center of rotation by 90 degrees relative to the wiring section in the plane of the pattern formation.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: February 10, 2015
    Assignee: Sony Corporation
    Inventor: Kazuhisa Ogawa
  • Patent number: 8952546
    Abstract: An integrated circuit comprising a plurality of standard cell circuit elements is disclosed, wherein for at least one layer of the integrated circuit, a majority of minimum-width patterns are in a preferred diagonal orientation.
    Type: Grant
    Filed: May 5, 2014
    Date of Patent: February 10, 2015
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Larry Lam Chau, Tam Dinh Thanh Nguyen
  • Patent number: 8949761
    Abstract: A technique for routing signal wires in an integrated circuit design includes applying a first rule that attempts to route a signal wire along existing power supply shapes of the integrated circuit design and applying a second rule that provides shield wires along segments of the signal wire that are not routed along one of the existing power supply shapes. The technique also includes routing the signal wire between a first endpoint and a second endpoint while applying the first and second rules to substantially minimize a route cost for the signal wire between the first and second endpoints.
    Type: Grant
    Filed: November 30, 2012
    Date of Patent: February 3, 2015
    Assignee: International Business Machines Corporation
    Inventors: Sven Peyer, Matthias Ringe
  • Patent number: 8943456
    Abstract: A method for determining the layout of an interconnect line is provided including: providing a required width for the interconnect line; determining a layout of the interconnect line including slotting the interconnect line to provide two or more fingers extending along the interconnect line with an elongate slot separating adjacent fingers; and determining a number of elongate apertures to be arranged across the width of the interconnect line by comparing the required width with a maximal width for a solid metal feature, and a minimal elongate aperture width. The two or more fingers and elongate slot may be of constant width and equally spaced across the interconnect line width. The method may include selecting the number of fingers and the width of the slots to optimize the layout for a given layer technology.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: January 27, 2015
    Assignee: International Business Machines Corporation
    Inventors: Rachel Gordin, David Goren, Sue Ellen Strang, Kurt Alan Tallman, Youri V. Tretiakov
  • Patent number: 8935647
    Abstract: An abstract decision module primitive for placement within a logical representation (i.e., a netlist) of a circuit design is described. The decision module primitive receives as inputs alternative solutions for a given function or segment of a netlist. The alternative solutions include functionally equivalent, but structurally different implementations of the function or segment of the netlist. The decision module primitive alternatively selects between connecting one of the inputs to the netlist to provide a complete functional definition for the netlist based on constraint information. The selected input of the decision module may be updated as additional constraint information is determined throughout the various stages of the design process. In addition, alternative solutions for a given function or segment of the netlist may be added to and/or removed from the inputs of a decision module as additional constraint information is identified.
    Type: Grant
    Filed: August 31, 2013
    Date of Patent: January 13, 2015
    Assignee: Tabula, Inc.
    Inventors: Andrew Caldwell, Steven Teig
  • Patent number: 8935649
    Abstract: Various embodiments identify a routing layer of an electronic design, create spacetile(s) by performing spacetile punch(es) for the routing layer, identify an area probe from the spacetile(s), and routes the electronic design by using the one or more area probes for performing area search for routing solutions. Some embodiments identify two routing layers of an electronic design, perform spacetile punch(es) to form spacetile(s) for the routing layers, determine a via spacetile layer, identify spacetile(s) as one or more area probes based on the via spacetile layer, and routes the electronic design by using the one or more area probes for performing area search for routing solutions while transitioning between the two routing layers. One of the two routing layers may be a tracked routing layer, and the other may be a trackless routing layer. The tracked routing may be gridded or gridless.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: January 13, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventor: Jeffrey S. Salowe
  • Patent number: 8935650
    Abstract: A method for designing a system to be implemented on a target device includes generating bounding boxes on the target device for nets in the system where a bounding box identifies routing resources available for routing its corresponding net. The nets in the system are assigned to a plurality of threads to be routed. The threads are executed so that a plurality of the nets are routed in parallel within their corresponding bounding box.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: January 13, 2015
    Assignee: Altera Corporation
    Inventors: Vaughn Betz, Jordan Swartz, Vadim Gouterman