Optimization Patents (Class 716/132)
  • Patent number: 8924906
    Abstract: A computer-implemented method of determining an attribute of a circuit includes using a computationally expensive technique to simulate the attribute (such as timing delay or slew) of a portion of the circuit, at predetermined values of various parameters (e.g. nominal values of channel length or metal width), to obtain at least a first value of the attribute. The method also uses a computationally inexpensive technique to estimate the same attribute, thereby to obtain at least a second value which is less accurate than the first value. Then the computationally inexpensive technique is repeatedly used on other values of the parameter(s), to obtain a number of additional second values of the attribute. Applying to the additional second values, a function obtained by calibrating the at least one second value to the at least one first value, can yield calibrated estimates very quickly, which represent the attribute's variation relatively accurately.
    Type: Grant
    Filed: September 3, 2013
    Date of Patent: December 30, 2014
    Assignee: Synopsys, Inc.
    Inventors: Nahmsuk Oh, Peivand Fallah-Tehrani, Alireza Kasnavi, Subramanyam Sripada
  • Patent number: 8924911
    Abstract: Circuit simulation can be performed on digital, analog, and mixed signal types of circuitry. Phases of operation are identified for a circuit and transient behavior is analyzed. Multiple time points are identified and the circuit is replicated for those time points with evaluation of the circuitry performed at those various time points. Simultaneous optimization is performed across the time points. Transistors and other devices can have their lengths, widths, and number of fingers optimized. Simulation can include determining Kirchhoff current law equations for various nodes within the circuit. Equations describing device operation can include non-convex signomial equations and convex polynomial equations.
    Type: Grant
    Filed: December 14, 2012
    Date of Patent: December 30, 2014
    Assignee: Synopsys, Inc.
    Inventors: David Colleran, Talal Al-Attar, Sunderarajan Sunderesan Mohan
  • Patent number: 8924910
    Abstract: A method according to an embodiment of a filter design tool is provided and includes receiving filter parameters for an analog filter through a user interface, where the filter parameters include an optimization parameter related to an application requirement of the analog filter, optimizing the filter for the optimization parameter, calculating a design output based on the optimized filter, and displaying the design output on the user interface. The method can further include receiving viewing parameters that specify the design output to be displayed. In various embodiments, the user interface includes an input area, a viewing area and a window area in one or more pages, where the input area is contiguous to the viewing area in at least one page. The filter parameters can be entered in the input area and the design output is calculated and displayed in the contiguous viewing area substantially immediately.
    Type: Grant
    Filed: November 9, 2012
    Date of Patent: December 30, 2014
    Assignee: Analog Devices, Inc.
    Inventor: Matthew N. Duff
  • Patent number: 8924902
    Abstract: Methods and circuits for optimizing performance and power consumption in a circuit design and circuit employing one or more lower threshold voltage (Lvt) cells or devices are described. A base supply voltage amplitude is determined for providing operating power for the circuit. The base supply voltage amplitude is a low or lowest voltage level that still satisfies a performance specification for the circuit. Providing a low or lowest base supply voltage level reduces or minimizes the standby (i.e., non-switching) power consumption in the Lvt device(s) since current leakage is reduced as the supply voltage level is reduced. Reducing the supply voltage level used to power the Lvt device(s) also reduces active power consumption for the circuit as well. Thus, total power consumption is optimized or reduced while still receiving the benefit of using Lvt devices to optimize or increase performance of a circuit layout and circuit.
    Type: Grant
    Filed: January 6, 2010
    Date of Patent: December 30, 2014
    Assignee: QUALCOMM Incorporated
    Inventor: Lew G. Chua-Eoan
  • Patent number: 8924898
    Abstract: An automated processor design tool uses a description of customized processor instruction set extensions in a standardized language to develop a configurable definition of a target instruction set, a Hardware Description Language description of circuitry necessary to implement the instruction set, and development tools such as a compiler, assembler, debugger and simulator which can be used to develop applications for the processor and to verify it. Implementation of the processor circuitry can be optimized for various criteria such as area, power consumption, speed and the like. Once a processor configuration is developed, it can be tested and inputs to the system modified to iteratively optimize the processor implementation. By providing a constrained domain of extensions and optimizations, the process can be automated to a high degree, thereby facilitating fast and reliable development.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: December 30, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Earl A. Killian, Ricardo E. Gonzalez, Ashish B. Dixit, Monica Lam, Walter D. Lichtenstein, Christopher Rowen, John C. Ruttenberg, Robert P. Wilson, Albert Ren-Rui Wang, Dror Eliezer Maydan
  • Patent number: 8918752
    Abstract: A semiconductor die is described. This semiconductor die includes a driver, and a spatial alignment transducer that is electrically coupled to the driver and which is proximate to a surface of the semiconductor die. The driver establishes a spatially varying electric charge distribution in at least one direction in the spatial alignment transducer, thereby facilitating determination of a spatial alignment in more than one direction between the semiconductor die and another semiconductor die. In particular, a spatial alignment sensor proximate to the surface of the other semiconductor die may detect an electrical field (or an associated electrostatic potential) associated with the spatially varying electric charge distribution. This detected electric field may allow the vertical spacing between the surfaces of the semiconductor dies and/or an angular alignment of the semiconductor dies to be determined.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: December 23, 2014
    Assignee: Oracle International Corporation
    Inventors: Alex Chow, Robert J. Drost, Ronald Ho, Robert D. Hopkins, Ivan E. Sutherland
  • Patent number: 8914759
    Abstract: Systems and techniques for creating a circuit abstraction are described. During operation, an embodiment can identify a set of side loads based on a set of timing paths. According to one definition, a side load of a timing path is a circuit element that is not on the timing path (i.e., the timing path does not pass through the circuit element), but whose input is electrically connected to an output of at least one circuit element that is on the timing path. Next, the embodiment can creating the circuit abstraction by retaining circuit elements and nets on each timing path in the set of timing paths, and retaining an identifier for each side load in the set of side loads. The circuit abstraction can then be used to update timing information during one or more stages of an electronic design automation flow.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: December 16, 2014
    Assignee: Synopsys, Inc.
    Inventors: Russell Segal, Peiqing Zou
  • Patent number: 8914765
    Abstract: A method of generating a power grid to supply current to a plurality of cells of an integrated circuit includes routing an initial power grid representing a power usage estimate for the plurality of cells. The method also includes performing power grid analysis prior to routing of signal wires to make a determination of whether the initial power grid meets power requirements of the integrated circuit, and selectively modifying portions of the initial power grid based on the performing the power grid analysis to generate the power grid.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: December 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Leon J. Sigal, James D. Warnock
  • Patent number: 8914764
    Abstract: A dynamic system coupled with “pre-Silicon” design methodologies and “post-Silicon” current optimizing programming methodologies to improve and optimize current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The mechanism consists of measuring or estimating power consumption at a certain granularity within a chip, converting the power information into C4 current information using a method, and triggering throttling mechanisms (including token based throttling) where applicable to limit the current delivery per C4 beyond pre-established limits or periods. Design aids are used to allocate C4s throughout the chip based on the current delivery requirements. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: December 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
  • Patent number: 8914763
    Abstract: Various embodiments identify a design including circuit features and identify an operation that produces an aggressor for victim(s). The operation on the aggressor and the set of victims are implemented using local maximally spanning spacetile(s) while satisfying some design requirements. Where the set of victims includes interconnects, the design may allow no bend in some interconnects. One or more spacetiles are used to perform the operation on the aggressor and implement the interconnects while introducing no bends in the interconnects by using local maximally spanning spacetile(s). Some implementation may perform block modeling for the aggressor to perform the operation on the aggressor and implement a set of victims while preserving the relative order of the interconnects by using the block modeling for the aggressor.
    Type: Grant
    Filed: December 3, 2012
    Date of Patent: December 16, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Satish Raj, Supriya Ananthram
  • Patent number: 8910107
    Abstract: Various embodiments identify a design including circuit features and identify an operation that produces an aggressor for victim(s). The operation on the aggressor and the set of victims are implemented using local maximally spanning spacetile(s) while satisfying some design requirements. Where the set of victims includes interconnects, the design may allow no bend in some interconnects. One or more spacetiles are used to perform the operation on the aggressor and implement the interconnects while introducing no bends in the interconnects by using local maximally spanning spacetile(s). Some implementation may perform block modeling for the aggressor to perform the operation on the aggressor and implement a set of victims while preserving the relative order of the interconnects by using the block modeling for the aggressor.
    Type: Grant
    Filed: December 3, 2012
    Date of Patent: December 9, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Satish Raj, Supriya Ananthram
  • Patent number: 8910090
    Abstract: One illustrative method disclosed herein involves producing an initial circuit layout, prior to decomposing the initial circuit layout, identifying at least one potential non-double-patterning-compliant (NDPC) pattern in the initial circuit layout, fixing the at least one potential non-double-patterning-compliant (NDPC) pattern so as to produce a double-patterning-compliant (DPT) pattern, producing a modified circuit layout by removing the potential non-double-patterning-compliant (NDPC) pattern and adding the double-patterning-compliant (DPT) pattern to the initial circuit layout, and performing design rule checking and double patterning compliance checking on the modified circuit layout.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: December 9, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Lynn T. Wang, Vito Dai, Luigi Capodieci
  • Patent number: 8904334
    Abstract: A method comprising placing elements in a layout, performing clock tree synthesis, and performing routing. The method further comprising, in parallel with one of the clock tree synthesis or the routing, performing a footprint based optimization, substituting a footprint equivalent element in a path based on a timing slack of the path.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: December 2, 2014
    Assignee: Synopsys, Inc.
    Inventors: Barry David Turner, Jr., Cristian Eugen Golovanov, Henry Shiu-Wen Sheng
  • Patent number: 8904322
    Abstract: An automated method of modifying a semiconductor chip design includes creating a timing analysis of said semiconductor chip design, identifying a plurality of gates in said semiconductor chip design which have either too fast a rising edge or falling edge, for each gate in said plurality of gates adding a stacked transistor to provide delay to the rising or falling edge of the gate. A design structure tangibly embodied in a machine readable medium for designing, manufacturing, or testing an integrated circuit, the design structure includes a CMOS device having a first transistor with a first input, a pair of stacked transistors having a second input, and an output.
    Type: Grant
    Filed: March 26, 2013
    Date of Patent: December 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Vikas Agarwal, Samantak Gangopadhyay, Shashank Joshi, Manish Kumar
  • Patent number: 8904332
    Abstract: The present disclosure relates to a method for visualizing an electronic circuit design. The method may include receiving the electronic circuit design, wherein the electronic circuit design includes at least one timing constraint. The method may also include identifying the at least one timing constraint and displaying, at a graphical user interface associated with the one or more computing devices, the at least one timing constraint and a physical routing associated with the electronic circuit design. The method may further include receiving a user input associated with the electronic circuit design and dynamically updating a graphical representation of the at least one timing constraint, in response to the received user input.
    Type: Grant
    Filed: March 1, 2013
    Date of Patent: December 2, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Brett Allen Neal, Joseph D Smedley, Richard Allen Woodward, Jr.
  • Patent number: 8898613
    Abstract: A microcomputer provided on a rectangular semiconductor board has memory interface circuits. The memory interface circuits are separately disposed in such positions as to extend along the peripheries of the semiconductor board on both sides from one corner as a reference position. In this case, limitations to size reduction imposed on the semiconductor board can be reduced compared with a semiconductor board having memory interface circuits only on one side. Respective partial circuits on each of the separated memory interface circuits have equal data units associated with data and data strobe signals. Thus, the microcomputer has simplified line design on a mother board and on a module board.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: November 25, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Takafumi Betsui, Naoto Taoka, Motoo Suwa, Shigezumi Matsui, Norihiko Sugita, Yoshiharu Fukushima
  • Patent number: 8898616
    Abstract: Software controlled transistor body bias. A target frequency is accessed. Using software, transistor body-biasing values are determined for the target frequency in order to enhance a characteristic of a circuit. The bodies of the transistors are biased based on the body-biasing values, wherein the characteristic is enhanced.
    Type: Grant
    Filed: January 14, 2013
    Date of Patent: November 25, 2014
    Inventors: David R. Ditzel, James B. Burr
  • Patent number: 8898618
    Abstract: The interactive grouping tool offers the flexibility to simplify the schematic diagram of an integrated circuit (IC) design by grouping circuit elements that are not specified to be of interest into entities of any size. Circuit elements of various types and functionalities, including ports and pins, can be combined together into the same entity without modifying the underlying design logic and connectivity. By grouping and hiding the unnecessary details, the tool reduces clutter in a schematic diagram and greatly eases the process of traversing, debugging, and analyzing the schematic diagram. Users can choose to dynamically group the circuit elements on the schematic diagram without going through any compilation or synthesis process. Users can also choose to revert any of the entities back to the original schematic diagram with the ungrouping operation. For specific or batch manipulation of the schematic diagram, the tool provides a scripting interface for users to enter commands.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: November 25, 2014
    Assignee: Altera Corporation
    Inventors: Choi Phaik Chin, Denis Chuan Hu Goh
  • Patent number: 8898603
    Abstract: A method for processing signals in a system includes deriving a signal activity for a signal from a timing requirement assignment for the signal.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: November 25, 2014
    Assignee: Altera Corporation
    Inventors: David Neto, Vaughn Betz, Jennifer Farrugia, Meghal Varia
  • Patent number: 8898614
    Abstract: A method includes preferentially placing fill regions adjacent to transistors of a particular conductivity type, such as p-channel transistors, for a plurality of standard cell instances of a device design. The method also includes evaluating all transistors of the first conductivity type prior to evaluating any transistors of a second conductivity type. The second conductivity type is opposite the first conductivity type. For each transistor being evaluated, it is determined whether a criterion is me. A fill region is placed within a field isolation region adjacent to the transistor if the criterion is met.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: November 25, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Puneet Sharma, Magdy S. Abadir, Scott P. Warrick
  • Patent number: 8893071
    Abstract: A method of pipelining a data path in an integrated circuit is described. The method comprises receiving a circuit design to be implemented in the integrated circuit device; providing a placement of the circuit design in the integrated circuit device; identifying a most critical path of the placement; adding pipeline registers to the most critical path; and adding pipeline registers to all paths that are parallel to the most critical path. A computer program product for pipelining a data path in an integrated circuit is also described.
    Type: Grant
    Filed: July 12, 2013
    Date of Patent: November 18, 2014
    Assignee: Xilinx, Inc.
    Inventor: Brian C. Gaide
  • Patent number: 8887119
    Abstract: A method can reuse at least one pin in demultiplexing (demuxing) a voltage from a pin. The method can be used to set an accurate current limit threshold in a design for test (DFT) phase and, thus, to accurately set a trimming code of a current limiter. The method uses the property that a power MOSFET has almost a same conductive resistance at a large drain current. Thus, the current limit threshold can be set according to an accurate drain-to-source voltage Vds at a small current sink that is less than a maximum current that ATE is able to provide. An accurate voltage Vds can be measured through Kelvin sensing drain and source pins of the power MOSFET, which are connected to a current sense circuit.
    Type: Grant
    Filed: September 17, 2013
    Date of Patent: November 11, 2014
    Assignee: Analog Devices Technology
    Inventors: Roger Feng, Junxiao Chen, Bin Shao
  • Patent number: 8881089
    Abstract: A system, process, etc. according to some embodiments, which includes operations that include selecting one of a plurality of solutions (“selected solution”) for optimization of an integrated circuit design during physical synthesis. The operations can further include performing on the selected solution a fast evaluation of a specific metric without updating design documents (e.g., without updating a netlist or metric map). If the evaluation of the specific metric is non-satisfactory, then the candidate solution is rejected. If the evaluation of the specific metric is satisfactory, then a design document is updated and a full evaluation of the specific metric (and other metrics) can be performed.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: November 4, 2014
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Glenn R. Bee, Zhuo Li, Tuhin Mahmud, Stephen T. Quay, Lakshmi N. Reddy, Chin Ngai Sze, Yaoguang Wei
  • Patent number: 8881073
    Abstract: Roughly described, a method for approximating stress-induced mobility enhancement in a channel region in an integrated circuit layout, including approximating the stress at each of a plurality of sample points in the channel, converting the stress approximation at each of the sample points to a respective mobility enhancement value, and averaging the mobility enhancement values at all the sample points. The method enables integrated circuit stress analysis that takes into account stresses contributed by multiple stress generation mechanisms, stresses having vector components other than along the length of the channel, and stress contributions (including mitigations) due to the presence of other structures in the neighborhood of the channel region under study, other than the nearest STI interfaces. The method also enables stress analysis of large layout regions and even full-chip layouts, without incurring the computation costs of a full TCAD simulation.
    Type: Grant
    Filed: March 25, 2013
    Date of Patent: November 4, 2014
    Assignee: Synopsys, Inc.
    Inventors: Victor Moroz, Dipankar Pramanik
  • Patent number: 8881090
    Abstract: The technological fabrication of the integrated circuit includes a fabrication of the integrated circuit in a reduced technological version of a native technology including at least a first dimensional compensation applied to the reduced channel length and to the reduced channel width of each transistor originating from a transistor, referred to as a “minimum transistor”, designed in the native technology and having in this native technology an initial channel length equal to a minimum length for the native technology and an initial channel width equal to a minimum width for the native technology. The fabrication obtains a transistor having a channel length equal, to a given precision, to the initial channel length and a channel width equal, to a given precision, to the initial channel width.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: November 4, 2014
    Assignee: STMicroelectronics (Rousset) SAS
    Inventors: Guilhem Bouton, Virginie Bidal
  • Patent number: 8881088
    Abstract: Methods and apparatuses for circuit design to reduce power usage, such as reducing temperature dependent power usage, and/or to improve timing, such as reducing temperature dependent delay or transition time. At least one embodiment of the method implemented on a data processing system for circuit design, the method comprises determining for a first design of a circuit a first temperature solution and a first power dissipation solution, the first power dissipation solution and the first temperature solution being interdependent, and transforming the first design of the circuit into a second design of the circuit using the first temperature solution to reduce leakage power of the circuit under one or more design constraints.
    Type: Grant
    Filed: October 21, 2013
    Date of Patent: November 4, 2014
    Assignee: Synopsys, Inc.
    Inventors: Khalid Rahmat, Kenneth S. McElvain
  • Patent number: 8875087
    Abstract: Disclosed is an improved method, system, and computer program product to perform automated generation and/or modification of control scripts for EDA tools. A script generator/modifier mechanism is used to access an optimization database to identify potential content of the control script. This potential content is then analyzed to identify the appropriate content to insert into the control script, to accomplish the intended goal of the user in operating the EDA tool. The script generator/modifier mechanism may itself be implemented in a script format.
    Type: Grant
    Filed: September 30, 2012
    Date of Patent: October 28, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yinghua Li, Kei-Yong Khoo
  • Patent number: 8875070
    Abstract: A first MOS transistor has a channel length. Based on a parameter associated with the first MOS transistor, the first MOS transistor is selected to be simulated as at least a first transistor and a second transistor in series. The circuit is simulated with the first transistor and the second transistor in place of the first MOS transistor. Based on the results of the simulation, device degradations are calculated for the first transistor the second transistor. A degraded netlist is created. In the degraded netlist, the first transistor is degraded by a device degradation for the first transistor. The second transistor is degraded by a device degradation for the second transistor. The circuit is re-simulated with the first degraded transistor and the second degraded transistor in place of the first MOS transistor.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: October 28, 2014
    Assignee: LSI Corporation
    Inventors: David Averill Bell, Bonnie E. Weir
  • Patent number: 8875068
    Abstract: An automated processor design tool uses a description of customized processor instruction set extensions in a standardized language to develop a configurable definition of a target instruction set, a Hardware Description Language description of circuitry necessary to implement the instruction set, and development tools such as a compiler, assembler, debugger and simulator which can be used to develop applications for the processor and to verify it. Implementation of the processor circuitry can be optimized for various criteria such as area, power consumption, speed and the like. Once a processor configuration is developed, it can be tested and inputs to the system modified to iteratively optimize the processor implementation. By providing a constrained domain of extensions and optimizations, the process can be automated to a high degree, thereby facilitating fast and reliable development.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: October 28, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Earl A. Killian, Ricardo E. Gonzalez, Ashish B. Dixit, Monica Lam, Walter D. Lichtenstein, Christopher Rowen, John C. Ruttenberg, Robert P. Wilson, Albert Ren-Rui Wang, Dror Eliezer Maydan
  • Patent number: 8875082
    Abstract: A system and method for expeditious operational timing signoff of a circuit design through a timing analysis and subsequent corrective or remedial optimization is performed with the goal of correlating timing between the physical implementation corrective optimizer module and the timing analysis module to reduce iterations therebetween. A physical optimizer in the correction module is imparted with knowledge of the physical implementation of the design to allow for legal, non-conflicting placement of corrective buffers or resizing of gates in accordance with the physical implementation data of the circuit design.
    Type: Grant
    Filed: December 28, 2012
    Date of Patent: October 28, 2014
    Assignee: Cadeńce Design Systems, Inc.
    Inventors: Sourav Kumar Sircar, Manish Garg
  • Patent number: 8869081
    Abstract: Various embodiments include computer-implemented methods, computer program products and systems for generating an integrated circuit (IC) library for use in a scatterometry analysis. In some cases, approaches include: obtaining chip design data about at least one IC chip; obtaining user input data about the at least one IC chip; and running an IC library defining program using the chip design data in its original format and the user input data in its original format, the running of the IC library defining program including: determining a process variation for the at least one IC chip based upon the chip design data and the user input data; converting the process variation into shape variation data; and providing the shape variation data in a text format to a scatterometry modeling program for use in the scatterometry analysis.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: October 21, 2014
    Assignees: International Business Machines Corporation, Global Foundries, Inc.
    Inventors: Nedal Saleh, Alok Vaid
  • Patent number: 8869094
    Abstract: A standard cell library is disclosed. The standard cell library contains cells wherein at least one transistor in at least one cell is annotated for gate length biasing. Gate length biasing includes the modification of the gate length, so as to change the speed or power consumption of the modified gate length. The standard cell library is one used in the manufacturing of semiconductor devices (e.g., that result as semiconductor chips), by way of fabricating features defined on one or more layouts of geometric shapes. The annotations serve to identify which ones of the transistor gate features are to be modified before using the geometric shapes for manufacturing the semiconductor device.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: October 21, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 8869091
    Abstract: Methods and apparatuses are described for optimizing local clock skew, and/or for synthesizing clock trees in an incremental fashion. For optimizing local clock skew, the circuit design can be partitioned into clock skew groups. Next, for each clock skew group, an initial clock tree can be constructed that substantially minimizes worst case clock skew in the clock skew group, and then the initial clock tree can be further optimized by substantially minimizing worst case local clock skew in the clock skew group. For performing incremental clock tree synthesis, a portion of a clock tree in the circuit design can be selected based on a set of modifications to the circuit design. Next, a new clock tree can be determined to replace the selected portion of the clock tree. The circuit design can then be modified by replacing the selected portion of the clock tree with the new clock tree.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: October 21, 2014
    Assignee: Synopsys, Inc.
    Inventors: Sanjay Dhar, Aiqun Cao
  • Publication number: 20140310672
    Abstract: An analytical synthesis method (ASM) for designing a higher-order voltage-mode operational trans-resistance amplifier and capacitor (OTRA-C) based filter is disclosed. A decomposition of a complicated nth-order transferring a function is converted into a set of equations corresponding to a set of sub-circuitries. Then, a circuit structure is constructed by combining said sub-circuitries.
    Type: Application
    Filed: April 11, 2013
    Publication date: October 16, 2014
    Applicant: Chung Yuan Christian University
    Inventors: Chun-Ming CHANG, Shu-Hui Tu
  • Patent number: 8863046
    Abstract: Impedance control, and the uniformity of electrical and mechanical characteristics in electronic packaging are becoming more important as chip and bus speeds increase and manufacturing processes evolve. Current state of the art design and manufacture processes inherently introduce physical dielectric thickness variations into PCB cross sections. These thickness variations between the ground reference plane(s) and the signal layer(s) inject undesirable characteristic impedance variations and undesirable mechanical variations in thickness and surface topology. Therefore a multilayer electronic structure and a method of manufacture is presented.
    Type: Grant
    Filed: April 11, 2008
    Date of Patent: October 14, 2014
    Assignee: International Business Machines Corporation
    Inventors: John Richard Dangler, Matthew Stephen Doyle
  • Patent number: 8863067
    Abstract: Some embodiments provide a method of designing an integrated circuit (IC). The design is expressed as a graph that includes several nodes that represent several IC components. The nodes include a first set of nodes that represent a set of clocked elements. The method creates a second set of nodes by removing all nodes in the first set from the nodes that represent the IC components. The method identifies a set of edges that connect two nodes in the second set without encompassing a third node in the second set. The method assigns an event time to each node in the second set. The method assigns a cost function based on the event times of the nodes connected by each edge and the number of nodes in the first set encompassed by each edge. The method optimizes the cost function and places the components based on the cost function optimization.
    Type: Grant
    Filed: February 6, 2008
    Date of Patent: October 14, 2014
    Assignee: Tabula, Inc.
    Inventors: Andrew Caldwell, Steven Teig
  • Patent number: 8863059
    Abstract: A method of configuring an integrated circuit device with a user logic design includes analyzing the user logic design to identify timing requirements of paths within the user logic design, determining latency requirements along those paths, routing the user logic design based on availability of storage elements for incorporation into those paths to satisfy the latency requirements, and retiming the user logic design following that routing by incorporating at least some of the storage elements.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: October 14, 2014
    Assignee: Altera Corporation
    Inventors: Ryan Fung, David Lewis, Valavan Manohararajah
  • Patent number: 8863068
    Abstract: A dynamic system coupled with “pre-Silicon” design methodologies and “post-Silicon” current optimizing programming methodologies to improve and optimize current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The mechanism consists of measuring or estimating power consumption at a certain granularity within a chip, converting the power information into C4 current information using a method, and triggering throttling mechanisms (including token based throttling) where applicable to limit the current delivery per C4 beyond pre-established limits or periods. Design aids are used to allocate C4s throughout the chip based on the current delivery requirements. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: October 14, 2014
    Assignee: International Business Machines Corporation
    Inventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
  • Patent number: 8863072
    Abstract: Various embodiments of the present disclosure provide techniques for producing configuration images of a system on a chip (SOC) design, including a programmable logic device (PLD) and operating system (OS) packages, responsive to a user selection of one or more modules of the PLD and a user selection of at least one OS package. A processor configured to run a design tool, builds the configuration image of the SOC. The design tool compiles a PLD configuration image corresponding to the first selection, selects one or more PLD module drivers corresponding to the first selection, compiles a bootloader and OS kernel design corresponding to the selected one or more module drivers and the PLD image; and builds the configuration image of the SOC corresponding to the bootloader and OS kernel design and the second selection.
    Type: Grant
    Filed: June 19, 2013
    Date of Patent: October 14, 2014
    Assignee: Altera Corporation
    Inventor: Steve Jahnke
  • Patent number: 8856713
    Abstract: A method for designing a system on a target device includes identifying candidate portions in the system to preserve based on similarities between the system and another system. Preservation criteria are applied on the candidate portions in the system to preserve to identify portions of the system to preserve. Design results from the another system are reused for portions in the system that are preserved.
    Type: Grant
    Filed: July 31, 2013
    Date of Patent: October 7, 2014
    Assignee: Altera Corporation
    Inventors: Ketan Padalia, Ryan Fung
  • Patent number: 8856704
    Abstract: Provided is a layout library having a plurality of unit layouts in which the same flip-flop circuit is implemented. In the layout library, at least two unit layouts have mutually different arrangement structures. Therefore, coupling capacitances seen at an equal node with respect to the two flip-flop circuits appear to be different from each other. A semiconductor designer can select a layout in which a desired coupling capacitance is set through wiring, and through this, can adopt a required flip-flop circuit.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: October 7, 2014
    Assignee: Industry-University Cooperation Foundation Hanyang University
    Inventor: Sang Hyeon Baeg
  • Patent number: 8856702
    Abstract: A method for designing a system on a target device includes entering the system. The system is synthesized. The system is mapped. The system is placed on the target device. The system is routed. Physical synthesis is performed on the system immediately after more than one of the entering, synthesizing, mapping, placing and routing procedures.
    Type: Grant
    Filed: July 5, 2013
    Date of Patent: October 7, 2014
    Assignee: Altera Corporation
    Inventors: Deshanand Singh, Valavan Manohararajah, Gordon Raymond Chiu, Ivan Blunno, Stephen D. Brown
  • Patent number: 8856716
    Abstract: An automatic placement system of IC design and a method thereof is provided. The automatic placement system of IC design concerns the chip area utility ratio, the input-output relationship between components, the power consumption produced from thermal noise of circuits and the MOS-type transformation ratio, and performs the genetic algorithm for providing an optimal solution to the placement problem. Herewith the effect of optimizing the placement according to the data of components and parameter is achieved.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: October 7, 2014
    Assignee: National Taiwan University
    Inventors: Han-Pang Huang, Ming-Hui Chang, Che-Hsin Cheng
  • Patent number: 8856717
    Abstract: A circuit board design aid is achieved by generating a shield pattern for a wiring pattern including a pattern element in a circuit board by increasing a width of a geometry of the pattern element by an amount corresponding to a shield pattern spacing set as a preset pattern generation condition. A prohibition region is generated based on a geometry of an element for which a clearance check is to be performed located around the wiring pattern and a clearance condition between the element for performing a clearance check and the wiring pattern. Then, the shield pattern is generated by excluding the geometry of the prohibition region from the geometry of the basic shield pattern element.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: October 7, 2014
    Assignee: Fujitsu Limited
    Inventors: Kazunori Kumagai, Eiichi Konno
  • Patent number: 8850375
    Abstract: An integrated circuit design method, system and simulator, wherein the integrated circuit design method includes: determining a region in which power supply noise shall be analyzed; determining current model parameters of the region; determining model parameters of a power supply network model; inputting into a simulator a net list; judging whether or not the region satisfies noise requirements of a chip power supply; and if the region satisfies noise requirements of the chip power supply, determining that the initial area is a minimum area that satisfies the noise requirements of the chip power supply in case the initial number of decoupling capacitors are used in the region.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: September 30, 2014
    Assignee: International Business Machines Corporation
    Inventors: Xuan Zou, Hai Tao Han, Wei Liu, Ze Gui Pang, Wen Yin
  • Patent number: 8850379
    Abstract: A method of generating an optimized layout of semiconductor components in conformance with a set of design rules includes generating, for a unit cell including one or more semiconductor components, a plurality of configurations each of which satisfies some, but not all, of the design rules. For each configuration, it is checked whether a layout, which is a repeating pattern of the unit cell, satisfies the remaining design rules. Among the configurations which satisfy all of the design rules, the configuration providing an optimal value of a property is selected for generating the optimized layout of the semiconductor components.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hung Chen, Yung-Chow Peng, Chung-Hui Chen, Chih Ming Yang
  • Patent number: 8843862
    Abstract: Methods and apparatuses for designing logic are described. In one embodiment, a directive which specifies a numeric format for data in a data processing operation in a logic design is determined. The directive is used as a minimum format, rather than an exact or required format to create or change at least a portion of a representation of logic in the logic design to perform the data processing operation. Other methods are disclosed, and systems and machine readable media are also disclosed.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: September 23, 2014
    Assignee: Synopsys, Inc.
    Inventor: Kenneth S. McElvain
  • Patent number: 8843872
    Abstract: Systems and techniques are described for automatically generating clock tree synthesis (CTS) exceptions. The process can use on one or more criteria to identify sequential circuit elements that can be ignored during clock skew minimization. For example, the process can identify sequential circuit elements whose clock skew cannot be balanced with other sequential circuit elements due to structural reasons, identify sequential timing elements that do not have a timing relationship with other sequential timing elements in the clock tree, and/or identify sequential circuit elements whose data pins have a sufficiently large slack so that clock skew is not expected to cause timing violations at any of the data pins. Next, the process can generate clock tree exceptions based on the identified sequential circuit elements.
    Type: Grant
    Filed: October 29, 2013
    Date of Patent: September 23, 2014
    Assignee: Synopsys, Inc.
    Inventors: Ssu-Min Chang, Aiqun Cao, Cheng-Liang Ding
  • Patent number: 8843870
    Abstract: A method of reducing current leakage in unused circuits performed during semiconductor fabrication and a semiconductor device or integrated circuit thereby formed. The method involves modifying a characteristic of at least one idle circuit that is unused in a product variant, to inhibit the circuit and reduce current leakage therefrom upon powering as well as during operation. The method can substantially increase the Vt (threshold voltage) of all transistors of a given type, such as all N-type transistors or all P-type transistors. The method is also suitable for controlling other transistor parameters, such as transistor channel length, as well as other active elements, such as N-type resistors or P-type resistors, in unused circuits which affect leakage current as well as for other unused circuits, such as a high Vt circuit, a standard Vt circuit, a low Vt circuit, and an SRAM cell Vt circuit.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: September 23, 2014
    Assignee: PMC-Sierra US, Inc.
    Inventors: Bruce Scatchard, Chunfang Xie, Scott Barrick, Kenneth D. Wagner
  • Patent number: 8843873
    Abstract: A method of estimating capacitive cell load of cells in an integrated circuit (IC) design uses first maximum capacitive load values CMAX—LIB in calculating risk of electromigration failure in cells of the IC design. CMAX—LIB is saved for a cell whose risk of electromigration failure is acceptable. For a failed cell, a revised maximum capacitive load value CMAX—2 is reduced as the ratio of an actual current IACTUAL—1 relative to the electromigration current limit ILIMIT in the weakest element of the cell. A revised actual current IACTUAL—2 is obtained as a function of transition times with CMAX—2. CMAX—2 is saved for the cell if IACTUAL—2 is less than ILIMIT. Otherwise the steps of calculating CMAX—2 and IACTUAL—2 are re-iterated. CMAX—2 is reduced relative to CMAX—LIB for the first iteration and is further reduced relative to its previous value CMAX—2 for subsequent iterations.
    Type: Grant
    Filed: December 8, 2013
    Date of Patent: September 23, 2014
    Inventors: Pramod Sharma, Madhur Kashyap, Narayanan Kannan