Optimization Patents (Class 716/132)
  • Patent number: 8843871
    Abstract: Systems and techniques are described for estimating optimal gate sizes in a circuit design using numerical delay models of cells and cell types in a technology library. Gate sizes are optimized in the circuit design in a reverse-levelized processing order. Gates that are at the same level in the reverse-levelized processing order, and whose inputs are electrically connected to the same driver output are optimized together. A closed-form expression is used to determine the optimized size for each gate in a set of gates that are optimized together. Some embodiments perform multiple optimization iterations, wherein in each optimization iteration all of the gates in the circuit design are processed in the reverse-levelized processing order. The iterative optimization process terminates when one or more termination conditions are met.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: September 23, 2014
    Assignee: Synopsys, Inc.
    Inventors: Amir H. Mottaez, Mahesh A. Iyer
  • Patent number: 8843863
    Abstract: A circuit optimization information management apparatus provides information to be used when a circuit parameter optimization program is executed to design an integrated circuit. The apparatus includes an accumulator for registering information relating to a candidate of a circuit type used in a design target circuit, a simulation test bench circuit corresponding to the circuit type, a simulation test input waveform and a circuit performance evaluation function for evaluating simulation results, and a feeder for selecting, in response to selection of the circuit type used in the design target circuit, information relating to the test bench circuit, the test input waveform and the performance evaluation function, corresponding to the selected circuit type registered in the accumulator and feeding the selected information to the circuit parameter optimization program.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: September 23, 2014
    Assignee: Sony Corporation
    Inventor: Noriyuki Kobayashi
  • Patent number: 8839177
    Abstract: Disclosed are integrated circuit design systems and methods, wherein selected functional library elements are placed in a layout to meet product specifications and selected hybrid fill-placeable library elements are placed in that same layout to meet at least one feature density rule. Each hybrid fill-placeable library element comprises fill shapes corresponding to specific features subject to a density rule and a marker shape that provides an instruction to ignore any density rule violations within that element for purposes of design rule checking. Placement of the hybrid fill-placeable library elements is performed to balance out density rule violations in functional library elements elsewhere in the layout, thereby avoiding the need for post-processing of the completed IC design to add fill shapes.
    Type: Grant
    Filed: August 22, 2013
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mark D. Aubel, Jeanne P. Bickford, Howard S. Landis, Michael T. Ross, Mark S. Styduhar, Charles H. Windisch, Jr.
  • Patent number: 8839175
    Abstract: A method is disclosed for defining an integrated circuit. The method includes generating a digital data file that includes both electrical connection information and physical topology information for a number of circuit components. The method also includes operating a computer to execute a layout generation program. The layout generation program reads the electrical connection and physical topology information for each of the number of circuit components from the digital data file and automatically creates one or more layout structures necessary to form each of the number of circuit components in a semiconductor device fabrication process, such that the one or more layout structures comply with the physical topology information read from the digital data file. The computer is also operated to store the one or more layout structures necessary to form each of the number of circuit components in a digital format on a computer readable medium.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: September 16, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Michael C. Smayling, Daryl Fox, Jonathan R. Quandt, Scott T. Becker
  • Patent number: 8839159
    Abstract: A computer determines a component optimal yield point for each component of the plurality of components, where the component optimal yield point represents the process parameter values where maximum yield is achieved for a component. The computer determines a weight factor for each component of the plurality of components, where the weight factor represents an importance of a component to the semiconductor device. The computer then determines an overall optimal yield point based on the component yield point and weight factor determined for each component of the plurality of components, the overall optimal yield point representing the process parameter values where maximum yield is achieved for the semiconductor device.
    Type: Grant
    Filed: January 17, 2013
    Date of Patent: September 16, 2014
    Assignee: International Business Machine Corporation
    Inventors: Igor Arsovski, Aurelius L. Graninger
  • Patent number: 8839182
    Abstract: A method for checking signal transmission lines of a printed circuit board (PCB) layout includes determining differential pairs to be checked and dividing the differential pairs to be checked into a first group and a second group. A first reference distance between differential pairs belonging to the same group and a second reference distance between differential pairs belonging to different groups are set. A first box surrounding each line section of one to be checked signal differential line of the first group and a second box surrounding the first box are created. One first box surrounding each line section of the to be checked differential line of the second group is created. Whether or not in the first box and the second box there are differential lines which do not satisfy design standards is determined.
    Type: Grant
    Filed: August 13, 2013
    Date of Patent: September 16, 2014
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Ya-Ling Huang, Chia-Nan Pai, Shou-Kuo Hsu
  • Patent number: 8839165
    Abstract: Methods determine temperature and voltage relationships for integrated circuit library elements to produce a continuous temperature-voltage function. Some of the library elements can be used or combined to form an integrated circuit design. Further, the performance characteristics for integrated circuit chips produced according to the integrated circuit design can be defined, such performance characteristics include an operating temperature range, etc. The continuous temperature-voltage function is applied to the performance characteristics to determine a plurality of temperature/voltage combinations for the integrated circuit chips. Each of the temperature/voltage combinations comprises an operating voltage for each operating temperature within the operating temperature range of the integrated circuit chips. Next, the integrated circuit chips are produced according to the integrated circuit design. The temperature/voltage combinations are recorded in memory of the integrated circuit chips.
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Eric A. Foreman, Mark W. Kuemerle, Susan K. Lichtensteiger
  • Publication number: 20140252638
    Abstract: A method, an apparatus, and a computer program product for wireless communication are provided. The apparatus generate a plurality of interconnect patterns for a set of vertical interconnects. Each interconnect pattern may be different from the other interconnect patterns. Each interconnect pattern may define relative locations for the set of vertical interconnects within a predefined area of a substrate in the semiconductor device. Highest crosstalk is determined for each of the interconnect patterns and the interconnect pattern with the minimum highest crosstalk is selected as a preferred pattern. One or more sets of interconnects is formed on a substrate in accordance with the preferred pattern. At least one set of interconnects may be rotated with respect to another set of interconnects on the substrate to minimize crosstalk between the sets of interconnects.
    Type: Application
    Filed: July 5, 2013
    Publication date: September 11, 2014
    Inventors: Shree Krishna Pandey, Changyu Sun
  • Publication number: 20140252637
    Abstract: A method, an apparatus, and a computer program product for wireless communication are provided. The apparatus generates a plurality of interconnect patterns for a set of longitudinal channels that are occupied by horizontal interconnects. Each interconnect pattern may be different from the other interconnect patterns. Each interconnect pattern may define relative locations for the set of horizontal interconnects and gap channels. Highest crosstalk is determined for each of the interconnect patterns and the interconnect pattern with the minimum highest crosstalk is selected as a preferred pattern. The highest crosstalk may comprise far-end crosstalk or near-end crosstalk and may be calculated for a range of frequencies or for a plurality of frequencies. The crosstalk may be calculated by modeling the interconnects as transmission lines.
    Type: Application
    Filed: June 25, 2013
    Publication date: September 11, 2014
    Inventors: Shree Krishna Pandey, Changyu Sun
  • Publication number: 20140256099
    Abstract: A method of designing a charge trapping memory array including designing a floating gate memory array layout. The floating gate memory layout includes a first type of transistors, electrical connections between memory cells of the floating gate memory array layout, a first input/output (I/O) interface, a first type of charge pump, and an I/O block. The method further includes modifying the floating gate memory array layout, using a processor, to replace the first type of transistors with a second type of transistors different than the first type of transistors. The method further includes determining an operating voltage difference between the I/O block and the second type of transistors. The method further includes modifying the floating gate memory array layout, using the processor, to modify the first charge pump based on the determined operating voltage difference.
    Type: Application
    Filed: March 11, 2013
    Publication date: September 11, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
  • Patent number: 8832630
    Abstract: First and second pin groups are each formed from a plurality of pins associated with specific nets. Pins in the first pin group are to be wired to pins in the second pin group according to their associated nets. A candidate selection unit selects a set of pair candidates each specifying a first pair of pins in the first pin group and a second pair of pins in the second pin group. The first and second pairs of pins are associated with the same pair of nets, and their respective distances are within a specified range. A pair determination unit determines which pins in the first and second pin groups are to be wired in pairs, based on the pair candidates selected by the candidate selection unit.
    Type: Grant
    Filed: July 23, 2012
    Date of Patent: September 9, 2014
    Assignee: Fujitsu Limited
    Inventors: Ikuo Ohtsuka, Toshiyasu Sakata
  • Patent number: 8832633
    Abstract: A method of designing a circuit is described. In an embodiment, a physical design implementation for the circuit is created using a plurality of entities. These entities are named “genomes”. Each entity includes a portion of a functional description of the circuit that has been synthesized into a gate-level implementation. An entity is selected to facilitate the physical design implementation meeting a plurality of design constraints. Several steps (e.g., beginning with selection of an entity) of this method are repeated several times to meet the design constraints. As a consequence, the physical design implementation provides more accurate information for use in a final physical design implementation. Moreover, the physical design implementation can be created faster than prior techniques while still allowing a global view of the physical design implementation in meeting design constraints.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: September 9, 2014
    Assignee: Oasys Design Systems
    Inventors: Hermanus Arts, Paul van Besouw, Johnson Limqueco
  • Patent number: 8832619
    Abstract: A system and method for designing integrated circuits and predicting current mismatch in a metal oxide semiconductor (MOS) array. A first subset of cells in the MOS array is selected and current measured for each of these cells. Standard deviation of current for each cell in the first subset of cells is determined with respect to current of a reference cell. Standard deviation of local variation can be determined using the determined standard deviation of current for one or more cells in the first subset. Standard deviations of variation induced by, for example, poly density gradient effects, in the x and/or y direction of the array can then be determined and current mismatch for any cell in the array determined therefrom.
    Type: Grant
    Filed: January 28, 2013
    Date of Patent: September 9, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Amit Kundu, Jaw-Juinn Horng, Yung-Chow Peng, Shih-Cheng Yang, Chung-Kai Lin
  • Publication number: 20140250417
    Abstract: Apparatus and method for designing an electrical component including a processor and a user interface, enabling a user to input a desired characteristic of the electrical component, such as inductance or quality factor at an operating frequency for an integrated spiral inductor.
    Type: Application
    Filed: May 13, 2014
    Publication date: September 4, 2014
    Applicant: HELIC S.A.
    Inventors: Sotirios Bantas, Paschalis Zampoukis
  • Patent number: 8826218
    Abstract: Systems and techniques are described for optimizing a circuit design by using a numerical solver. Some embodiments construct a set of lower bound expressions for a parameter that is used in an approximation of an objective function. Next, the embodiments evaluate the set of lower bound expressions to obtain a set of lower bound values. The embodiments then determine a maximum lower bound value from the set of lower bound values. Next, while solving a gate sizing problem using the numerical solver, the embodiments evaluate the approximate objective function and the partial derivatives of the approximate objective function by using the maximum lower bound value of the parameter. The maximum lower bound value of this parameter determines the accuracy of the approximation of the objective function.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: September 2, 2014
    Assignee: Synopsys, Inc.
    Inventors: Amir H. Mottaez, Mahesh A. Iyer
  • Patent number: 8826204
    Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for instance, a circuit description indicative of the layout of signal wires and ground wires in the circuit is received. The signal wires and the ground wires are grouped into at least a first bundle and a second bundle, wherein the first bundle and the second bundle each comprise a respective signal-wire segment and one or more corresponding ground-wire segments. A representative dipole moment is calculated for the first bundle. Using the representative dipole moment, the mutual inductance between the first bundle and the second bundle is calculated. Computer-readable media storing computer-executable instructions for causing a computer to perform any of the disclosed methods or storing design databases created or modified using any of the disclosed techniques are also disclosed.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: September 2, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
  • Patent number: 8826216
    Abstract: A system and method of operating an integrated circuit (IC) having a fixed layout of one or more blocks having one or more current sources therein that draw electrical current from a power source. The method includes dynamically issuing to a block configured to perform operations responsive to an instruction received at the block, a reserve amount of tokens; determining for each issuance of instruction to the block whether that block's reserve token amount exceeds zero; and one of: issuing the instruction to the block if the token reserve for that block is greater than one, and decrementing, after issuance of the instruction, by one token the block's reserve token amount, or, preventing issuance of an instruction to the block. In the method, each block may be initialized to have: a reserve token amount of zero, a token expiration period; a token generation cycle and a token generation amount.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
  • Patent number: 8826217
    Abstract: Systems and techniques are described for optimizing a circuit design by using a numerical solver. The gates sizes are optimized by modeling a set of gate optimization problems and solving the set of gate optimization problems by using a numerical solver. The optimization can be performed iteratively, wherein in each iteration a gate optimization problem can be modeled for the portion of the circuit design based on circuit information for the portion of the circuit design. An objective function can be created, wherein the objective function includes at least one penalty function that imposes a lower and/or upper bound on at least one variable that is being optimized. In some embodiments, gradients of the objective function, which includes the penalty function, can be computed to enable the use of a conjugate-gradient-based numerical solver.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: September 2, 2014
    Assignee: Synopsys, Inc.
    Inventors: Amir H. Mottaez, Mahesh A. Iyer
  • Patent number: 8826199
    Abstract: Methods, systems, and computer readable medium for developing a system architecture that involves defining resource constraints for kinds of resources and constraint values for optimization parameters, and defining a design space as variants, where each variant is a vector. Satisfying sets of variants are determined for optimization parameters by assigning membership values to each variant of a universe of discourse set and performing a fuzzy search of a universe of discourse set using the corresponding membership values. A set of variants is determined based on an intersection of the satisfying sets of variants. An ordered list of variants is generated by sorting the set of variants and a variant is selected based on a position of the variant in the ordered list for use in developing the system architecture.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: September 2, 2014
    Assignee: Ryerson University
    Inventors: Reza Sedaghat, Anirban Sengupta
  • Publication number: 20140245249
    Abstract: Solving computational problems may include generating a logic circuit representation of the computational problem, encoding the logic circuit representation as a discrete optimization problem, and solving the discrete optimization problem using a quantum processor. Output(s) of the logic circuit representation may be clamped such that the solving involves effectively executing the logic circuit representation in reverse to determine input(s) that corresponds to the clamped output(s). The representation may be of a Boolean logic circuit. The discrete optimization problem may be composed of a set of miniature optimization problems, where each miniature optimization problem encodes a respective logic gate from the logic circuit representation. A quantum processor may include multiple sets of qubits, each set coupled to respective annealing signal lines such that dynamic evolution of each set of qubits is controlled independently from the dynamic evolutions of the other sets of qubits.
    Type: Application
    Filed: February 21, 2014
    Publication date: August 28, 2014
    Applicant: D-Wave Systems Inc.
    Inventors: William Macready, Geordie Rose, Thomas Mahon, Peter Love, Marshall Drew-Brook
  • Publication number: 20140239305
    Abstract: A preferred method of optimizing a Ga-nitride device material structure for a frequency multiplication device comprises: determining the amplitude and frequency of the input signal being multiplied in frequency; providing a Ga-nitride region on a substrate; determining the Al percentage composition and impurity doping in an AlGaN region positioned on the Ga-nitride region based upon the power level and waveform of the input signal and the desired frequency range in order to optimize power input/output efficiency; and selecting an orientation of N-face polar GaN or Ga-face polar GaN material relative to the AlGaN/GaN interface so as to orient the face of the GaN so as to optimize charge at the AlGaN/GaN interface. A preferred embodiment comprises an anti-serial Schottky varactor comprising: two Schottky diodes in anti-serial connection; each comprising at least one GaN layer designed based upon doping and thickness to improve the conversion efficiency.
    Type: Application
    Filed: February 22, 2013
    Publication date: August 28, 2014
    Applicant: U.S. ARMY RESEARCH LABORATORY ATTN: RDRL-LOC-I
    Inventor: U.S. ARMY RESEARCH LABORATORY ATTN: RDRL-LOC-I
  • Patent number: 8819612
    Abstract: Logic gates in a child unit of a hierarchical integrated circuit design that are visible in an abstract model of the child unit of the hierarchical integrated circuit design are marked. A hide bit is set for the marked logic gates and a modification on the child unit is performed. The marked logic gates in the child unit are preserved during modification of the child unit. The hide bit is cleared from each marked logic gate and the logic gates are unmarked when modification of the child unit is complete.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: August 26, 2014
    Assignee: International Business Machines Corporation
    Inventor: Timothy D. Helvey
  • Patent number: 8819603
    Abstract: A circuit can include a plurality of storage circuits, each having a pair of first conductivity type transistor having sources commonly connected to a first node, and gates and drains cross-coupled between first and second storage node; and a pair of second conductivity type transistor having sources commonly connected to a second node, and gates and drains cross-coupled between the first and second storage node; wherein each of the second conductivity type transistors comprises a screening region of the first conductivity type formed below the channel region and has a predetermined minimum dopant concentration.
    Type: Grant
    Filed: December 14, 2012
    Date of Patent: August 26, 2014
    Assignee: Suvolta, Inc.
    Inventors: Lawrence T. Clark, Samuel Leshner
  • Patent number: 8819610
    Abstract: An integrated circuit layout includes a P-type active region, an N-type active region, a first metal connection, a second metal connection and a plurality of trunks. The plurality of trunks is formed substantially side-by-side, and in parallel with each other. The first metal connection is substantially disposed over the P-type active region, and is electrically connected with drain regions of PMOS transistors in the P-type active region. The second metal connection is substantially disposed over the N-type active region, and is electrically connected with drain regions of NMOS transistors in the N-type active region. The plurality of trunks is electrically connected with and is substantially perpendicular to the first metal connection and the second metal connection. A first trunk of the plurality of trunks has a width wider than a width of other trunks of the plurality of trunks and is arranged to be located between two groups of trunks.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: August 26, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiang-Jen Tseng, Ting-Wei Chiang, Wei-Yu Chen, Ruei-Wun Sun, Hung-Jung Tseng, Shun Li Chen, Li-Chun Tien
  • Patent number: 8819615
    Abstract: A first assembly of critical cells is to be monitored. An equivalent capacitance of output cells coupled to the critical path is determined. Logic level inputs of the critical cells for signal propagation are also determined. A second assembly of control logic cells is provided which copies the first assembly in terms of number of cells, type of cells and cell connection such that each of the control cells is a homolog of a corresponding critical cell. Charge cells are provided at the outputs of the control cells having an equivalent capacitance in accordance with the determined capacitance of the output cells. For each control cell, logic levels are asserted in accordance with the determined configuration of the critical path. A signal generator applies a signal the input of the second assembly and a signal receiver is coupled to the output of the second assembly.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: August 26, 2014
    Assignee: STMicroelectronics SA
    Inventors: Julien Le Coz, Sylvain Engels, Alain Tournier
  • Patent number: 8813001
    Abstract: A method for use in electronic design software efficiently and optimally produces minimized or reduced register flip flop area or number of registers/flip flops in a VLSI circuit design without changing circuit timing or functionality. The method dynamically generates constraints; maintains the generated constraints as a regular tree; and incrementally relocates registers/flip flops and/or the number of registers/flip flops in the circuit design.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: August 19, 2014
    Assignee: Northwestern University
    Inventors: Hai Zhou, Jia Wang
  • Patent number: 8813018
    Abstract: A logic design system operable to configure an integrated circuit device using custom logic design data is disclosed. The disclosed logic design system includes a computer-aided design tool that may be used to determine a memory space estimate based on a custom logic design data analysis. A memory size analysis may be performed on the custom logic design data to determine the maximum amount of stack memory that is required to execute procedures in the device. The logic design system may also monitor for changing memory requirements of the custom logic design. The logic design system may configure the device with the custom logic design data based on the memory space estimate.
    Type: Grant
    Filed: October 5, 2012
    Date of Patent: August 19, 2014
    Assignee: Altera Corporation
    Inventors: Benjamin Gamsa, Gordon Raymond Chiu
  • Patent number: 8813014
    Abstract: A method for designing a semiconductor ic chip includes dividing the chip into functional blocks such as a core portion and one or more other functional cells and applying design rules concerning the spatial arrangement of semiconductor fins to the core portion but not to the other functional cells. The design guidelines include the application of design rules to some but not all functional blocks of the chip, may be stored on a computer-readable medium and the design of the semiconductor ic chip and the generation of a photomask set for manufacturing the semiconductor ic chip may be carried out using a CAD or other automated design system. The semiconductor ic chip formed in accordance with this method includes semiconductor fins that are formed in both the core portion and the other functional cells but are only required to be tightly packed in the core portion.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: August 19, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shao-Ming Yu, Chang-Yun Chang
  • Patent number: 8813020
    Abstract: A system and method for automatically modifying a first layout of a circuit. The first layout may describe a plurality of layers used in a fabrication process to manufacture the circuit. When performed, the fabrication process may result in a vertical electrical connection between two of the layers. However, the vertical electrical connection may not be directly specified by the first layout. The system and method may operate to apply a set of rules to the first layout to automatically generate a modified layout directly specifying a vertical electrical connection between the two layers. The set of rules may be based on knowledge of the fabrication process, and may be designed to modify the geometry of the first layout to more closely model the real geometry of the circuit that will result from the fabrication process. The modified layout may enable an electromagnetic (EM) simulation of the circuit to be accurately performed.
    Type: Grant
    Filed: January 12, 2013
    Date of Patent: August 19, 2014
    Assignee: AWR Corporation
    Inventors: Joseph Edward Pekarek, Niranjana Sharma Doddamani
  • Patent number: 8813017
    Abstract: In one embodiment, a method for determining a contour simplification of an object for a simulation is provided. An object in a layout of a transistor design to be created with the photolithographic process is determined. The object includes a width and a length in the layout. A contour simulation is performed to determine a generated contour object. The contour simulation simulates parametric variation factors that may occur in the photolithographic process. An adjusted width and adjusted length of the object is then determined based on the generated contour object. The adjusted width and the adjusted length are usable to determine a parametric model for simulation of the object. For example, a layout versus schematic (LVS) tool may back-annotate the layout. Then, a SPICE simulation may use the output of the LVS tool to verify the electrical behavior of the transistor using the adjusted width and adjusted length.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: August 19, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Jean-Marie Brunet, William S. Graupp
  • Patent number: 8806408
    Abstract: Various embodiments of methods of designing an integrated circuit (IC). One embodiment of one such method includes: (1) generating a functional design for the IC, (2) determining performance objectives for the IC, (3) determining an optimization target voltage for the IC, (4) determining whether the IC needs voltage scaling to achieve the performance objectives at the optimization target voltage and, if so, whether the IC is to employ static voltage scaling or adaptive voltage scaling, (5) using the optimization target voltage to implement a layout from the functional IC design that meets the performance objectives and (6) performing a timing signoff of the layout at the optimization target voltage.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: August 12, 2014
    Assignee: Agere Systems Inc.
    Inventors: James C. Parker, Vishwas M. Rao, Clayton E. Schneider, Jr., Gregory W. Sheets, Prasad Subbarao
  • Patent number: 8806394
    Abstract: Described herein are methods for matching the characteristics of a lithographic projection apparatus to a reference lithographic projection apparatus, where the matching includes optimizing projection optics characteristics. The projection optics can be used to shape wavefront in the lithographic projection apparatus. According to the embodiments herein, the methods can be accelerated by using linear fitting algorithm or using Taylor series expansion using partial derivatives of transmission cross coefficients (TCCs).
    Type: Grant
    Filed: October 4, 2013
    Date of Patent: August 12, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Hanying Feng, Yu Cao, Jun Ye
  • Patent number: 8806404
    Abstract: A system and method for reducing power consumption in a reconfigurable integrated circuit. Some embodiments provide placement and routing programs that reduce the number of bits to be reconfigured. Some embodiments provide placement and routing programs that increase the number of groups of circuits that do not need reconfiguration at some times. Some embodiments include circuits that selectively block reconfiguration.
    Type: Grant
    Filed: September 15, 2012
    Date of Patent: August 12, 2014
    Assignee: Tabula, Inc.
    Inventors: Randy R. Huang, Martin Voogel, Jingcao Hu, Steven Teig
  • Patent number: 8806409
    Abstract: A method according to an embodiment of a filter design tool is provided and includes receiving filter parameters for an analog filter through a user interface, where the filter parameters include an optimization parameter related to an application requirement of the analog filter, optimizing the filter for the optimization parameter, calculating a design output based on the optimized filter, and displaying the design output on the user interface. The method can further include receiving viewing parameters that specify the design output to be displayed. In various embodiments, the user interface includes an input area, a viewing area and a window area in one or more pages, where the input area is contiguous to the viewing area in at least one page. The filter parameters can be entered in the input area and the design output is calculated and displayed in the contiguous viewing area substantially immediately.
    Type: Grant
    Filed: December 6, 2012
    Date of Patent: August 12, 2014
    Assignee: Analog Devices, Inc
    Inventor: Matthew N. Duff
  • Patent number: 8806412
    Abstract: Place-and-route (P&R) includes maintaining a set of local arrival time information and local required time information associated with a circuit layout; determining a candidate fix on a critical path in the circuit layout; statistically determining, using one or more computer processors, a set of one or more adjusted local slacks associated with a region affected by the candidate fix; and in the event that the set of one or more adjusted local slacks indicates that the candidate fix results in a timing improvement, accepting the candidate fix.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: August 12, 2014
    Assignee: Atoptech, Inc.
    Inventors: Yu-Cheng Wang, Wei-Shen Wang
  • Patent number: 8806398
    Abstract: A method for designing an electronic component includes receiving a device criteria (e.g., a parametric value, procurement value, etc.) from a designer, querying a database for devices corresponding to the device criteria, querying the database for procurement data and/or engineering data associated with the corresponding devices, presenting the devices to the designer based on the procurement data, and receiving input from the designer identifying one of the presented devices as a selected device. In a particular method, the returned devices are sorted based on one or more procurement values (e.g., manufacturer, price, availability, manufacturer status, etc.), and presented to the designer in a ranked list. Objects representative of the selected devices are then entered into a design file, and the objects are associated with the device's engineering and/or procurement data. In a particular embodiment, the objects are associated with the engineering data by embedding the engineering data in the file object.
    Type: Grant
    Filed: April 27, 2010
    Date of Patent: August 12, 2014
    Assignee: Flextronics AP, LLC
    Inventors: Nicholas E. Brathwaite, Ram Gopal Bommakanti, Visvanathan Ganapathy, Paul N. Burns, Douglas Edward Maddox, Michael Anthony Durkan
  • Patent number: 8806399
    Abstract: An electronic automation system performs register retiming on a logic design, which may be a logic design for a programmable logic integrated circuit. Register retiming is a moving or rearranging of registers across combinatorial logic in a design in order to improve a maximum operating frequency or fmax. In one implementation, the system includes machine-readable code, which may be stored on a computer-readable medium such as a disk, executing on a computer. The system balances timing in order to trade off delays between critical and noncritical paths. Register retiming may make changes to a design at a gate level.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: August 12, 2014
    Assignee: Altera Corporation
    Inventors: Babette van Antwerpen, Michael D. Hutton, Gregg William Baeckler, Jinyong Yuan
  • Patent number: 8799841
    Abstract: According to an aspect of an embodiment, a method of designing an analog circuit may include selecting multiple analog components for a circuit. The method may also include ordering the analog components. The method may also include determining at least one pareto-optimal design point for a parameter of each analog component. The pareto-optimal design point for each analog component may be based on a performance metric, the parameter for the respective analog component, and constraints resulting from pareto-optimal design points for analog components ahead of the respective analog component within the ordering of the analog components.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: August 5, 2014
    Assignee: Fujitsu Limited
    Inventors: Subodh M. Reddy, Toshiyuki Shibuya
  • Patent number: 8799847
    Abstract: Methods for designing fin-based field effect transistors (FinFETs) are disclosed. In one embodiment, an initial FinFET design is evaluated to ascertain the space between fins (i.e., the “fin pitch”). Additionally, the spacing between interconnect metal modules (i.e., the “metal pitch”) is ascertained. A ratio of metal pitch to fin pitch is established. From this initial ratio, isotropically scaled sizes are considered along with anisotropically scaled sizes. The variously scaled sizes are compared to design criteria to see what new size best fits the design criteria.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: August 5, 2014
    Assignee: QUALCOMM Incorporated
    Inventors: Stanley Seungchul Song, Zhongze Wang, Choh fei Yeap
  • Patent number: 8799837
    Abstract: Leveraging existing Binary Decision Diagrams (BDDs) to enhance circuit reductions in a system model representing a state machine as a netlist. The netlist is evaluated to determine the regions with the greatest potential reductions. BDD sweeping is performed to identify redundancies in the netlist. BDD rewriting implements the circuit reductions by replacing gates of the original netlist with more efficient equivalent logic.
    Type: Grant
    Filed: August 25, 2008
    Date of Patent: August 5, 2014
    Assignee: International Business Machines Corporation
    Inventors: Jason Baumgartner, Geert Janssen, Robert Kanzelman, Viresh Paruthi
  • Patent number: 8793633
    Abstract: Modifying a hierarchical circuit design includes: accessing hierarchical circuit data in the hierarchical circuit design; performing timing analysis on a selected portion of the hierarchical circuit data to determine whether inter-block timing closure is achieved; and in the event that inter-block timing closure is not achieved, performing a set of one or more fixes on the selected portion of the hierarchical circuit data to achieve inter-block timing closure. The selected portion of the hierarchical circuit data includes a selected portion of top-level block data and a selected portion of lower-level block data. Accessing hierarchical circuit data, performing timing analysis, and in the event that inter-block timing closure is not achieved, performing the set of one or more fixes are performed within a top-level design process.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: July 29, 2014
    Assignee: Atoptech, Inc.
    Inventor: Ping-San Tzeng
  • Patent number: 8793638
    Abstract: The present disclosure describes a method of optimizing a design for manufacture (DFM) simulation. The method includes receiving an integrated circuit (IC) design data having a feature, receiving a process data having a parameter or a plurality of parameters, performing the DFM simulation, and optimizing the DFM simulation. The performing the DFM simulation includes generating a simulation output data using the IC design data and the process data. The optimizing the DFM simulation includes generating a performance index of the parameter or the plurality of parameters by the DFM simulation. The optimizing the DFM simulation includes adjusting the parameter or the plurality of parameters at outer loop, middle loop, and the inner loop. The optimizing the DFM simulation also includes locating a nadir of the performance index of the parameter or the plurality of parameters over a range of the parameter or the plurality of parameters.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: July 29, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Keuing Hui, Yen-Wei Cheng, Yen-Di Tsen, Jong-I Mou, Chin-Hsiang Lin
  • Patent number: 8793636
    Abstract: Mechanisms are provided for performing placement of cells in a design of a semiconductor device. An initial design of the semiconductor device is generated, the initial design comprising a first placement of cells. A preferred direction of placement associated with the cells is determined. The preferred direction is a direction along which spreading of the cells is preferred. A second design of the semiconductor device is generated by modifying the first placement of the cells to generate a second placement of cells, different from the first placement cells, based on the preferred direction of placement associated with the cells.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: July 29, 2014
    Assignee: International Business Machines Corporation
    Inventors: Charles J. Alpert, Myung-Chul Kim, Zhuo Li, Natarajan Viswanathan, Samuel I. Ward
  • Patent number: 8793632
    Abstract: In one or more embodiments, one or more methods, processes, and/or systems described can determine stress failures in interconnect segments of integrated circuit designs and correct those failure via modifying the interconnect segments of the integrated circuit designs with one or more additions to the interconnect segments of the integrated circuit designs. Potentials can be received from a simulation, and one or more failures of an interconnect segment can be determined via the potentials from the simulation. For example, a failure can be determined via a comparison with a potential from the simulation and a critical potential. An interconnect segment can be modified with a stub such that a comparison with a potential from the simulation and a critical potential to provide a non-failing, modified interconnect segment.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: July 29, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ertugrul Demircan, Mehul D. Shroff
  • Patent number: 8789008
    Abstract: Methods for generating a device layout are provided. First, design rules corresponding to a specific technology are received. A selection of at least one element and a parameter value corresponding to at least one parameter on the selected element are received. A draft device layout corresponding to the selected element is generated by a device generator by referencing the parameter value and the design rules. A script is then executed to modify the draft device layout to generate an updated device layout. The script includes at least one command, and when the script is executed, the at least one command is performed to modify the parameter value of the at least one parameter of the selected element and cause the device generator to delete the old draft device layout and generate a new draft device layout by referencing the modified parameter value and the design rules.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: July 22, 2014
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Chih-Hung Chen, Wen-Hao Yu, Shyh-An Tang
  • Patent number: 8788255
    Abstract: A delay analysis device composed of a storage device and a data processing device analyzes a chip fabricating a semiconductor integrated circuit. Delay calculation is performed via an RC simulation with reference to a layout-implemented macro net list, macro layout data, and a cell timing library, thus producing macro delay information. An initial stage of a macro is annotated by the global clock path delay information including the edge information so as to produce a global clock delay-annotated macro net list, which is then converted into a macro delay-annotated net list. Based on the macro delay-annotated net list and timing constraint, the delay analysis device calculates delay times of signal paths and clock paths as well as clock skews with a high precision. It checks whether or not the relationship between the delay times of signal paths and clock paths meets the timing constraint, thus producing delay analysis information.
    Type: Grant
    Filed: August 19, 2010
    Date of Patent: July 22, 2014
    Assignee: NEC Corporation
    Inventor: Koji Kanno
  • Patent number: 8788982
    Abstract: Aspects of the invention relate to techniques for repairing layout design defects after layout data have been processed by resolution enhancement techniques. The repair process first determines a re-correction region that includes three portions: core, transition and visible portions. An inverse lithography process is then performed on the core and transition portions of the re-correction region while taking into account effects from the visible portion to generate a modified re-correction region. The transition portion is processed based on distance from boundary between the transition portion and the core portion such that layout features near the boundary between the transition portion and the core portion are adjusted more than layout features farther away from the boundary.
    Type: Grant
    Filed: January 14, 2013
    Date of Patent: July 22, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: George Lippincott, Yuri Granik, Sergey Kobelkov
  • Patent number: 8789002
    Abstract: A method of manufacturing a semiconductor device on the basis of changed design layout data. The method decides a functional relationship between layout parameters based on layout data and the electrical characteristic of a plurality of semiconductor elements. Candidates of the values of the layout parameters are extracted from design layout data so as to decrease the difference between a target electrical characteristic and a predicted electrical characteristic. A specific value from the candidate values of the layout parameters is selected and the design layout data is changed based on the specific selected value.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: July 22, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Takuji Tanaka
  • Publication number: 20140201697
    Abstract: A computer determines a component optimal yield point for each component of the plurality of components, where the component optimal yield point represents the process parameter values where maximum yield is achieved for a component. The computer determines a weight factor for each component of the plurality of components, where the weight factor represents an importance of a component to the semiconductor device. The computer then determines an overall optimal yield point based on the component yield point and weight factor determined for each component of the plurality of components, the overall optimal yield point representing the process parameter values where maximum yield is achieved for the semiconductor device.
    Type: Application
    Filed: January 17, 2013
    Publication date: July 17, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: INTERNATIONAL BUSINESS MACHINES CORPORATION
  • Publication number: 20140196941
    Abstract: The present disclosure relates to a method of optimizing via cutouts, including selecting a geometry of a via cutout on a first ground reference layer adjacent to a first differential trace, the geometry selected to provide an extension region extending in the direction of the first differential trace. Additionally, the method includes the steps of selecting a geometry of the first differential trace, wherein a spacing of the first differential trace in the extension region is different from a spacing of the first differential trace outside the extension region, and selecting a radial dimension of a first and second via cutout on a second ground reference layer adjacent to and between the first and second differential traces, the radial dimension of the first via cutout and the second via cutout selected such that the second ground reference layer remains intact in the area adjacent the second differential trace.
    Type: Application
    Filed: January 15, 2013
    Publication date: July 17, 2014
    Applicant: FUJITSU LIMITED
    Inventors: Yasuo Hidaka, Pradip Thachile