Layout Editor (with Eco, Reuse, Gui) Patents (Class 716/139)
  • Patent number: 8881090
    Abstract: The technological fabrication of the integrated circuit includes a fabrication of the integrated circuit in a reduced technological version of a native technology including at least a first dimensional compensation applied to the reduced channel length and to the reduced channel width of each transistor originating from a transistor, referred to as a “minimum transistor”, designed in the native technology and having in this native technology an initial channel length equal to a minimum length for the native technology and an initial channel width equal to a minimum width for the native technology. The fabrication obtains a transistor having a channel length equal, to a given precision, to the initial channel length and a channel width equal, to a given precision, to the initial channel width.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: November 4, 2014
    Assignee: STMicroelectronics (Rousset) SAS
    Inventors: Guilhem Bouton, Virginie Bidal
  • Patent number: 8875068
    Abstract: An automated processor design tool uses a description of customized processor instruction set extensions in a standardized language to develop a configurable definition of a target instruction set, a Hardware Description Language description of circuitry necessary to implement the instruction set, and development tools such as a compiler, assembler, debugger and simulator which can be used to develop applications for the processor and to verify it. Implementation of the processor circuitry can be optimized for various criteria such as area, power consumption, speed and the like. Once a processor configuration is developed, it can be tested and inputs to the system modified to iteratively optimize the processor implementation. By providing a constrained domain of extensions and optimizations, the process can be automated to a high degree, thereby facilitating fast and reliable development.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: October 28, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Earl A. Killian, Ricardo E. Gonzalez, Ashish B. Dixit, Monica Lam, Walter D. Lichtenstein, Christopher Rowen, John C. Ruttenberg, Robert P. Wilson, Albert Ren-Rui Wang, Dror Eliezer Maydan
  • Patent number: 8875080
    Abstract: A design methodology is provided to fully automate the creation of multiple-personality programmable macros for use in metal/via programmable ICs. Programmability is achieved using programmable switches, each of which may include one or more metal traces and/or vias on one or more layers configured in series, in parallel, or in combination. Multiple overlapping switches may exist in the same location. That is, switches may be defined that use some of the same resources. Any one of the switches may be “turned on,” while the remaining switches remain turned off. As part of the design methodology, different nets or parts of an electrical circuit design are programmed by replacing the switches with hard connections that close the circuit, or with no connections so as to open the circuit, or cause the circuit to remain open. The methodology allows for sharing routing or programming resources to achieve optimize layout area usage.
    Type: Grant
    Filed: December 4, 2013
    Date of Patent: October 28, 2014
    Assignee: Baysand Inc.
    Inventors: Jonathan C Parks, Yin Hao Liew, Jeremy Lee Jia Jian
  • Patent number: 8875087
    Abstract: Disclosed is an improved method, system, and computer program product to perform automated generation and/or modification of control scripts for EDA tools. A script generator/modifier mechanism is used to access an optimization database to identify potential content of the control script. This potential content is then analyzed to identify the appropriate content to insert into the control script, to accomplish the intended goal of the user in operating the EDA tool. The script generator/modifier mechanism may itself be implemented in a script format.
    Type: Grant
    Filed: September 30, 2012
    Date of Patent: October 28, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yinghua Li, Kei-Yong Khoo
  • Publication number: 20140317589
    Abstract: A system for concurrent CAx workflow includes a collaborative server that manages a model of an engineering object, the model comprising at least design data and analysis data corresponding to the design data, a design client for editing of the design data by a design user, an analysis client for editing of the analysis data by an analysis user concurrent with editing of the design data by the design user; and wherein the collaborative server and the analysis client are collectively configured (i.e., one or both are configured) to enable the analysis user to edit the analysis data, view a plurality of geometric elements within the design data, and create a reference within the analysis data to a selected geometric element of the plurality of geometric elements within the design data. A corresponding apparatus, method, and computer-readable medium are also disclosed herein.
    Type: Application
    Filed: April 2, 2014
    Publication date: October 23, 2014
    Applicant: Brigham Young University
    Inventors: Kelly Eric Bowman, JR., Timothy A. Bright, Charles Gregory Jensen, Ammon Hepworth, Jared Calvin Briggs, Walter Edward Red
  • Patent number: 8869094
    Abstract: A standard cell library is disclosed. The standard cell library contains cells wherein at least one transistor in at least one cell is annotated for gate length biasing. Gate length biasing includes the modification of the gate length, so as to change the speed or power consumption of the modified gate length. The standard cell library is one used in the manufacturing of semiconductor devices (e.g., that result as semiconductor chips), by way of fabricating features defined on one or more layouts of geometric shapes. The annotations serve to identify which ones of the transistor gate features are to be modified before using the geometric shapes for manufacturing the semiconductor device.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: October 21, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 8869093
    Abstract: Protocol related data generated by a simulation application are captured. One or more protocol objects are displayed in a window on a display device based on the protocol related data. The protocol objects represent events associated with the interface protocol. In at least some embodiments, a location of the protocol objects on the display device is correlated to a simulation time. In at least some embodiments, protocol-related data are grouped according to an abstraction level of the interface protocol, and the protocol objects are displayed on the display device based on grouping. The protocol objects displayed on the display device can be associated with concurrent, interleaved protocol events, or both.
    Type: Grant
    Filed: January 9, 2012
    Date of Patent: October 21, 2014
    Assignee: Synopsys, Inc.
    Inventor: John Elliott
  • Patent number: 8843870
    Abstract: A method of reducing current leakage in unused circuits performed during semiconductor fabrication and a semiconductor device or integrated circuit thereby formed. The method involves modifying a characteristic of at least one idle circuit that is unused in a product variant, to inhibit the circuit and reduce current leakage therefrom upon powering as well as during operation. The method can substantially increase the Vt (threshold voltage) of all transistors of a given type, such as all N-type transistors or all P-type transistors. The method is also suitable for controlling other transistor parameters, such as transistor channel length, as well as other active elements, such as N-type resistors or P-type resistors, in unused circuits which affect leakage current as well as for other unused circuits, such as a high Vt circuit, a standard Vt circuit, a low Vt circuit, and an SRAM cell Vt circuit.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: September 23, 2014
    Assignee: PMC-Sierra US, Inc.
    Inventors: Bruce Scatchard, Chunfang Xie, Scott Barrick, Kenneth D. Wagner
  • Patent number: 8839183
    Abstract: A computer-implemented method, system and computer program product for visualizing derived layer shapes of an integrated circuit design are disclosed. The computer-implemented method, system and computer program product include visualizing the derived layer shapes on a layout canvas; providing a step by step process for visualizing each derived layer shape as each derived layer shape is generated; and providing a hierarchy of intermediate derived layers based upon the step by step process.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: September 16, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Pardeep Juneja, Sanjiib Ghosh, Harindranath Parameswaran
  • Patent number: 8839184
    Abstract: Techniques for computer-assisted routing of an electronic design for a programmable target device are described herein. In an example embodiment, a computer system displays a representation of the programmable target device in a user interface. The computer system receives first user input that indicates a first component in the electronic design for the programmable target device. The computer system determines one or more second components of the electronic design that can be routed to the first component and displays one or more visual objects that indicate the one or more second components. The computer system then receives second user input that selects a particular component from the one or more second components and stores interconnect data indicating that the first component is routed to the particular component.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: September 16, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Dennis Raymond Seguine, Adriy Ivanets
  • Patent number: 8839173
    Abstract: An ECO hold time fixing method fulfills a short path padding in a placed and routed design by a minimum capacitance insertion. In the method, a padding value determination step receives the placed and routed design and is based on a cell library, timing constraints, and a timing analysis report to determine padding values and locations required for each gate of the placed and routed design to output. A load/buffer allocation step is based on a spare cell information, a dummy metal information, and the padding values and locations to achieve the short path padding in the placed and routed design.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: September 16, 2014
    Assignee: National Chiao Tung University
    Inventors: Hiu-Ru Jiang, Yu-Ming Yang, Sung-Ting Ho
  • Patent number: 8832630
    Abstract: First and second pin groups are each formed from a plurality of pins associated with specific nets. Pins in the first pin group are to be wired to pins in the second pin group according to their associated nets. A candidate selection unit selects a set of pair candidates each specifying a first pair of pins in the first pin group and a second pair of pins in the second pin group. The first and second pairs of pins are associated with the same pair of nets, and their respective distances are within a specified range. A pair determination unit determines which pins in the first and second pin groups are to be wired in pairs, based on the pair candidates selected by the candidate selection unit.
    Type: Grant
    Filed: July 23, 2012
    Date of Patent: September 9, 2014
    Assignee: Fujitsu Limited
    Inventors: Ikuo Ohtsuka, Toshiyasu Sakata
  • Patent number: 8832636
    Abstract: A non-transitory, computer-readable recording medium stores therein a verification support program that causes a computer to execute identifying from a finite state machine model related to a circuit-under-test, an input count of transitions to a transition-end state and an output count of transitions from the transition-end state; determining the transition-end state to be a record/restore subject, if the identified output transition>the identified input transition count; embedding record-instruction information causing the record/restore subject to be recorded to a database, if a first element causing transition to the record/restore subject is included in a first test scenario that is in a test scenario group related to the circuit-under-test; and embedding restore-instruction information causing the record-restore subject to be restored from the database, if a second element causing transition to the record-restore subject is included in a series of elements making up a second test scenario that is in
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: September 9, 2014
    Assignee: Fujitsu Limited
    Inventors: Ryosuke Oishi, David Thach, Yutaka Tamiya
  • Patent number: 8826204
    Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for instance, a circuit description indicative of the layout of signal wires and ground wires in the circuit is received. The signal wires and the ground wires are grouped into at least a first bundle and a second bundle, wherein the first bundle and the second bundle each comprise a respective signal-wire segment and one or more corresponding ground-wire segments. A representative dipole moment is calculated for the first bundle. Using the representative dipole moment, the mutual inductance between the first bundle and the second bundle is calculated. Computer-readable media storing computer-executable instructions for causing a computer to perform any of the disclosed methods or storing design databases created or modified using any of the disclosed techniques are also disclosed.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: September 2, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
  • Patent number: 8819612
    Abstract: Logic gates in a child unit of a hierarchical integrated circuit design that are visible in an abstract model of the child unit of the hierarchical integrated circuit design are marked. A hide bit is set for the marked logic gates and a modification on the child unit is performed. The marked logic gates in the child unit are preserved during modification of the child unit. The hide bit is cleared from each marked logic gate and the logic gates are unmarked when modification of the child unit is complete.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: August 26, 2014
    Assignee: International Business Machines Corporation
    Inventor: Timothy D. Helvey
  • Patent number: 8813014
    Abstract: A method for designing a semiconductor ic chip includes dividing the chip into functional blocks such as a core portion and one or more other functional cells and applying design rules concerning the spatial arrangement of semiconductor fins to the core portion but not to the other functional cells. The design guidelines include the application of design rules to some but not all functional blocks of the chip, may be stored on a computer-readable medium and the design of the semiconductor ic chip and the generation of a photomask set for manufacturing the semiconductor ic chip may be carried out using a CAD or other automated design system. The semiconductor ic chip formed in accordance with this method includes semiconductor fins that are formed in both the core portion and the other functional cells but are only required to be tightly packed in the core portion.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: August 19, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shao-Ming Yu, Chang-Yun Chang
  • Patent number: 8813020
    Abstract: A system and method for automatically modifying a first layout of a circuit. The first layout may describe a plurality of layers used in a fabrication process to manufacture the circuit. When performed, the fabrication process may result in a vertical electrical connection between two of the layers. However, the vertical electrical connection may not be directly specified by the first layout. The system and method may operate to apply a set of rules to the first layout to automatically generate a modified layout directly specifying a vertical electrical connection between the two layers. The set of rules may be based on knowledge of the fabrication process, and may be designed to modify the geometry of the first layout to more closely model the real geometry of the circuit that will result from the fabrication process. The modified layout may enable an electromagnetic (EM) simulation of the circuit to be accurately performed.
    Type: Grant
    Filed: January 12, 2013
    Date of Patent: August 19, 2014
    Assignee: AWR Corporation
    Inventors: Joseph Edward Pekarek, Niranjana Sharma Doddamani
  • Patent number: 8813017
    Abstract: In one embodiment, a method for determining a contour simplification of an object for a simulation is provided. An object in a layout of a transistor design to be created with the photolithographic process is determined. The object includes a width and a length in the layout. A contour simulation is performed to determine a generated contour object. The contour simulation simulates parametric variation factors that may occur in the photolithographic process. An adjusted width and adjusted length of the object is then determined based on the generated contour object. The adjusted width and the adjusted length are usable to determine a parametric model for simulation of the object. For example, a layout versus schematic (LVS) tool may back-annotate the layout. Then, a SPICE simulation may use the output of the LVS tool to verify the electrical behavior of the transistor using the adjusted width and adjusted length.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: August 19, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Jean-Marie Brunet, William S. Graupp
  • Patent number: 8806405
    Abstract: A method is provided to produce a constraint information for use to implement a routing process used to generate routing signal lines in an integrated circuit design comprising: producing a net topology pattern structure that corresponds to a logical net that is associated with at least two instance item structures of at least one functional design, wherein the net topology pattern structure is associated with the at least two instance item structures and includes multiple constituent structures that indicate at least one constraint upon physical implementation of the logical net structure.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: August 12, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Regis Colwell, Arnold Ginetti, Khalid ElGalaind, Thomas Jordan, Jose A. Martinez, Jeffrey Markham, Steven Riley, Chung-Do Yang
  • Patent number: 8806417
    Abstract: A target integrated circuit layout having a plurality of design rules having minimum rules and standard rules used in the target integrated circuit layout is provided. First and second design rule checks are performed, where respective first and second sets of violations of the plurality of design rules and each design rule associated with the first and second sets of violations are recorded. An analysis is performed on the first and second sets of violations, each design rule associated with the first and second sets of violations, and a frequency of usage of each of the plurality of design rules, and a rule usage rate is determined having a number of minimum rules used overall and a number of overall violations of the design rules. An interactive rule database is formed having statistics associated with the rule usage rate for subsequent implementation in an integrated circuit.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: August 12, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Ming Chao, Jyh-Kang Ting, Chin-An Chen, Pei-tzu Wu, Chun-Yi Lee
  • Patent number: 8799849
    Abstract: A method for designing an electronic component includes receiving a device criteria (e.g., a parametric value, procurement value, etc.) from a designer, querying a database for devices corresponding to the device criteria, querying the database for procurement data and/or engineering data associated with the corresponding devices, presenting the devices to the designer based on the procurement data, and receiving input from the designer identifying one of the presented devices as a selected device. In a particular method, the returned devices are sorted based on one or more procurement values (e.g., manufacturer, price, availability, manufacturer status, etc.), and presented to the designer in a ranked list. Objects representative of the selected devices are then entered into a design file, and the objects are associated with the device's engineering and/or procurement data. In a particular embodiment, the objects are associated with the engineering data by embedding the engineering data in the file object.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: August 5, 2014
    Assignee: Flextronics AP, LLC
    Inventors: Nicholas E. Brathwaite, Ram Gopal Bommakanti, Visvanathan Ganapathy, Paul N. Burns, Douglas Edward Maddox, Michael Anthony Durkan
  • Patent number: 8799850
    Abstract: Some embodiments provide a system that performs a simulation within an electronic design automation (EDA) application. During operation, the system obtains a design from a user of the EDA application. Next, the system performs the simulation using the design to create a set of current simulation results associated with the design. The system then automatically saves a current design state of the design which is associated with the current simulation results. Finally, the system enables subsequent access to the current design state and one or more previous design states of the design by the user through a graphical user interface (GUI) associated with the EDA application.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: August 5, 2014
    Assignee: Synopsys, Inc.
    Inventors: Salem L. Ganzhorn, Kristin M. Beggs, Govindaswamy Chithamudali
  • Patent number: 8799836
    Abstract: In one embodiment, at least one design library element having a design marker shape is applied to a yield checking tool having library element types, each having a yield checking deck threshold and a marker shape. The design marker shape is compared to each of the marker shapes. A determination is made as to whether the design library element satisfies the yield checking deck threshold associated with the library element type having a matching marker shape. In another embodiment, a product design formed from a design library elements each having a design marker shape is applied to the yield checking tool in a similar manner. In instances where the design library elements do not satisfy the yield checking deck threshold, then the design library element is updated by modifying the design library elements, placement of the design library elements in the product design, and/or wiring connecting the design library elements.
    Type: Grant
    Filed: July 8, 2013
    Date of Patent: August 5, 2014
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. S. Bickford, Anand Kumaraswamy, Terry M. Lowe, Mark S. Styduhar, Lijiang L. Wang
  • Patent number: 8793635
    Abstract: A method and apparatus for configuring a microcontroller. An XML description of the microcontroller's hardware resources may be accessed. A user may select from available hardware resources and pre-defined user modules to select a configuration. Configuration information, which may include register bit patterns and microprocessor instructions, may be automatically generated. Additionally, application programming interface calls and structure, as well as interrupt vector tables may be automatically generated.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: July 29, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Kenneth Y. Ogami, Doug Anderson, Matthew Pleis, Rick Hood
  • Patent number: 8793644
    Abstract: A method and NoC design tool is disclosed that automatically maps the paths listed in a timing report and the unit size in an area report to the topology of a NoC and displays the paths and unit sizes in a GUI. The tool can also automatically add pipeline stages, separated by the maximum delay allowed in the timing budget, in order to achieve timing closure in an automated way.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: July 29, 2014
    Assignee: Qualcomm Technologies, Inc.
    Inventors: Daniel Michel, Xavier Van Ruymbeke, Pascal Godet, Xavier Leloup
  • Publication number: 20140208281
    Abstract: A logical design component permits an electronic device design to be modified from a logical perspective on a schematic of the device showing device components in logical form, and displays a logical window of the schematic. A physical design component permits the design to be modified from a circuit board perspective on a circuit board representation of the device the showing the components in physical form, and displays a physical window of the circuit board representation. A real-time component permits the design to be modified from a simplified circuit board perspective on a simplified view of the circuit board representation, and displays a simplified window of the simplified view. Changes made to the design within the logical and/or physical window are automatically displayed within the simplified window in real-time; changes made to the design within the simplified window are automatically displayed within the logical and/or physical window in real-time.
    Type: Application
    Filed: January 20, 2013
    Publication date: July 24, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Jian Ming
  • Patent number: 8789008
    Abstract: Methods for generating a device layout are provided. First, design rules corresponding to a specific technology are received. A selection of at least one element and a parameter value corresponding to at least one parameter on the selected element are received. A draft device layout corresponding to the selected element is generated by a device generator by referencing the parameter value and the design rules. A script is then executed to modify the draft device layout to generate an updated device layout. The script includes at least one command, and when the script is executed, the at least one command is performed to modify the parameter value of the at least one parameter of the selected element and cause the device generator to delete the old draft device layout and generate a new draft device layout by referencing the modified parameter value and the design rules.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: July 22, 2014
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Chih-Hung Chen, Wen-Hao Yu, Shyh-An Tang
  • Patent number: 8788999
    Abstract: A system automatically routes interconnect of an integrated circuit design using variable width interconnect lines. For example, a first automatically routed interconnect may have a different width from a second automatically routed interconnect. The system will vary the width of the interconnect lines based on certain factors or criteria. These factors include current or power handling, reliability, electromigration, voltage drops, self-heating, optical proximity effects, or other factors, or combinations of these factors. The system may use a gridded or a gridless (or shape-based) approach.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: July 22, 2014
    Assignee: Pulsic Limited
    Inventors: Graham Baldsdon, Jeremy Birch, Mark Williams, Mark Waller, Tim Parker, Fumiaki Sato
  • Publication number: 20140201692
    Abstract: Some embodiments relate to a system that pre-colors word lines and control lines within a memory cell to avoid timing delays that result from processing variations introduced through multiple patterning lithography processes. The system has a memory element that stores a graphical IC layout with a memory circuit having layout features including a plurality of word lines and a plurality of Y-control lines. A pre-coloring element pre-colors one or more of the plurality of word lines and Y-control lines, to indicate that pre-colored word lines and Y-control lines are to be formed on a same mask of a multiple mask set used for a multiple patterning lithography process. A decomposition element assigns different colors to uncolored layout features of the memory circuit, to indicate that different colored memory features are to be formed on different masks of the multiple mask set.
    Type: Application
    Filed: March 18, 2014
    Publication date: July 17, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: YEN-HUEI CHEN, HUNG-JEN LIAO, JONATHAN TSUNG-YUNG CHANG
  • Patent number: 8782576
    Abstract: A library of cells for designing an integrated circuit, the library comprises continuous diffusion compatible (CDC) cells. A CDC cell includes a p-doped diffusion region electrically connected to a supply rail and continuous from the left edge to the right edge of the CDC cell; a first polysilicon gate disposed above the p-doped diffusion region and electrically connected to the p-doped diffusion region; an n-doped diffusion region electrically connected to a ground rail and continuous from the left edge to the right edge; a second polysilicon gate disposed above the n-doped diffusion region and electrically connected to the n-doped diffusion region; a left floating polysilicon gate disposed over the p-doped and n-doped diffusion regions and proximal to the left edge; and a right floating polysilicon gate disposed over the p-doped and n-doped diffusion regions and proximal to the right edge.
    Type: Grant
    Filed: August 26, 2013
    Date of Patent: July 15, 2014
    Assignee: QUALCOMM Incorporated
    Inventors: Benjamin John Bowers, James W. Hayward, Charanya Gopal, Gregory Christopher Burda, Robert J. Bucki, Chock H. Gan, Giridhar Nallapati, Matthew D. Youngblood, William R. Flederbach
  • Patent number: 8782594
    Abstract: A method is disclosed for structuring a function plan into function plan sections. The function plan includes function modules. Individual function modules are connected to at least one other function module of at least one function module connection. If the function plan exceeds the predefined area of the function plan section, a first determination of the arising function module external connections in an assignment of the individual function modules to the individual function plan sections occurs for each function plan variant, and the individual function modules are assigned to the function plan sections according to the function plan variant having the least possible number of function module external connections.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: July 15, 2014
    Assignee: Siemens Aktiengesellschaft
    Inventor: Andre Turnaus
  • Patent number: 8782577
    Abstract: Disclosed are a method, system, and computer program product for providing customizable information in designing electronic circuits with electrical awareness. The method or the system displays a portion of a physical design of an electronic circuit in a first display area. The method or the system receives or identifies a user's or a system's manipulation of the portion of the physical design of the electronic circuit. The method or the system then determines and displays an in situ response to the manipulation in the first display area. The method or the system may further display, in the first display area or in another display area, result(s) relating to the physical data of a component, electrical parasitic(s) associated with the physical data, electrical characteristic(s) associated with the physical data or the electrical characteristic(s), or other element(s) of the physical design that is impacted by the manipulation.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: July 15, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ed Fischer, David White, Michael McSherry, Bruce Yanagida, Vance Kenzle
  • Patent number: 8782593
    Abstract: A method includes retrieving a first component information of a secured portion of a package, wherein the first component information is encrypted. The step of retrieving includes decrypting the first component information. A thermal resistance-network (R-network) is generated from the decrypted first component information. A temperature map of the package is generated using the thermal R-network and a second component information of an unsecured portion of the package, wherein the secured portion and the unsecured portion are bonded to each other.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: July 15, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Min Fu, Wan-Yu Lo, Meng-Fu You, Po-Hsiang Huang, Cheng-Chieh Hsieh
  • Patent number: 8775984
    Abstract: Phase-coherent differential structures contain a phase-coherent transformer having two pairs of phase-coherent coupled differential inductors.
    Type: Grant
    Filed: December 28, 2010
    Date of Patent: July 8, 2014
    Assignee: The Regents of the University of California
    Inventors: Mau-Chung Frank Chang, Daquan Huang
  • Patent number: 8776000
    Abstract: A method of implementing timing ECO in a circuit includes the steps of performing a static timing analysis on the circuit so as to determine at least one timing violating path of the circuit, decomposing the timing violating path into at least one violating path segment, determining a smooth curve from each timing violating path and determining a plurality of reference points along the smooth curve, computing a fixability parameter of each gate on the violating path segment, extracting at least one gate according to the fixability parameters, and selecting one spare cell and disposing the selected spare cell on the violating path segment.
    Type: Grant
    Filed: November 7, 2012
    Date of Patent: July 8, 2014
    Assignee: National Chiao Tung University
    Inventors: Hua-Yu Chang, Hui-Ru Jiang, Yao-Wen Chang
  • Patent number: 8775999
    Abstract: A method for validating standard cells stored in a standard cell library and for use in design of an integrated circuit device is described. Each standard cell of the standard cells is iteratively placed adjacent to each side and corner of itself and each other standard cell of the standard cells to produce an interim test layout comprising a first plurality of cell pair permutations. The cell pair permutations are reduced by identifying at least one of: illegal or redundant left-right and top-bottom boundaries, and removing any cell pair permutations using the identified boundaries to generate a final test layout comprising a second plurality of cell pair permutations.
    Type: Grant
    Filed: November 8, 2012
    Date of Patent: July 8, 2014
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Juang-Ying Chueh, Charles Tung
  • Patent number: 8775988
    Abstract: A method for performing a parallel static timing analysis in which multiple processes independently update a timing graph without requiring communication through a central coordinator module. Local processing queues are used to reduce locking overhead without causing excessive load imbalance. A parallel analysis is conducted on a circuit design represented by a timing graph formed by a plurality of interconnected nodes, the method including: using a computer for creating a shared work queue of ready to process independent nodes; assigning the independent nodes from the work queue to at least two parallel computation processes, simultaneously performing node analysis computations thereof; and modifying the circuit design by updating values of the processed independent nodes obtained from the node analysis, the at least two parallel computation processes independently updating the shared work queue to process a new plurality of independent nodes.
    Type: Grant
    Filed: June 1, 2011
    Date of Patent: July 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mark A. Lavin, David J. Hathaway, Kerim Kalafala, Jeffrey S. Piaget, Chandramouli Visweswariah
  • Patent number: 8769476
    Abstract: A method of generating a circuit layout of an integrated circuit includes generating layout geometry parameters for at least a predetermined portion of an original netlist of the integrated circuit. A consolidated netlist including information from the original netlist and the layout geometry parameters is generated. Then, the circuit layout is generated based on the consolidated netlist.
    Type: Grant
    Filed: May 4, 2012
    Date of Patent: July 1, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui Yu Lee, Feng Wei Kuo, Jui-Feng Kuan, Simon Yi-Hung Chen
  • Patent number: 8769451
    Abstract: In a semiconductor device design method performed by at least one processor, at least one first parasitic parameter between electrical components inside a region of a layout of a semiconductor device and at least one second parasitic parameter between electrical components outside the region of the layout are extracted by different tools. The extracted parasitic parameters are incorporated into the layout.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: July 1, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ping-Hung Yuh, Cheng-I Huang, Chung-Hsing Wang
  • Patent number: 8769474
    Abstract: Disclosed are methods, systems, and articles of manufacture for using pattern matching with an integrated circuit layout including recognizing shapes within the IC layout, identifying features for the shapes, and extracting situations for the respective features. The method may further include simulating the situations to determine a set of situations for modification based on an OPC requirement, modifying the set of situations to improve satisfaction of the OPC requirement, and reintegrating the modified set of situations into the IC layout. The method may also include simulating a subset of the extracted situations to determine aerial images of the subset, and tiling the subset of situations to form a larger aerial image. The method may also include removing overlap from a window based on the situations extracted for the window, calculating a density for each of the situations, and calculating a density for the window based on the density.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: July 1, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Frank E. Gennari, Ya-Chieh Lai, Matthew W. Moskewicz, Michael C. Lam, Gregory R. McIntyre
  • Patent number: 8769473
    Abstract: A wiring design support apparatus includes: an input device with which input data about a wiring design content in a multilayered printed circuit board is input; a storage device includes a stab length limitation value table and a back drill application table stored therein, wherein the stab length limitation value table includes set data of a limitation value about a stab length of a through hole of the printed circuit board, and the back drill application table includes set data of information about whether a conductor of a stab of the printed circuit board can be removed or not; and a processor configured to determine, based on the stab length limitation value table and the back drill application table, whether a wiring design of the input data is appropriate.
    Type: Grant
    Filed: August 9, 2013
    Date of Patent: July 1, 2014
    Assignee: Fujitsu Limited
    Inventor: Kazuhiro Sakai
  • Patent number: 8769475
    Abstract: Provided is a system and method for designing the layout of integrated circuits or other semiconductor devices while directly accessing design rules and a library of design features by interfacing with a GUI upon which the design layout is displayed. The design rules may be directly linked to the design features of the pattern library and imported into the device layout. The design rules may be directly accessed while designing the layout or while conducting a design rule check and the design features from the pattern library may be used in creating the layout.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: July 1, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-An Chen, Pei-Tzu Wu, Tsung-Chieh Tsai, Juing-Yi Wu, Jyh-Kang Ting
  • Patent number: 8769477
    Abstract: A user interface for a computer-aided design tool includes a display. The display includes a visualization of a processor system of a system-on-a-chip (SOC). The visualization includes a plurality of blocks and each block represents a component of the processor system. Each block visually indicates a configuration status of the component represented by the block.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: July 1, 2014
    Assignee: Xilinx, Inc.
    Inventors: Yogesh Gathoo, Siddharth Rele, Gregory A. Brown, Avdhesh Palliwal, Gangadhar Budde, Sumit Nagpal
  • Patent number: 8762927
    Abstract: Designing operation efficiency is improved by automatically transmitting and receiving circuit-related information and layout-related information required for designing each printed board between printed boards, for designing a plurality of printed boards at the same time. In an electric information processing method in a CAD system, the printed boards are designed at the same time by transmitting and receiving the circuit design information relating to the printed boards and the layout design information relating to the printed boards between the circuits and layouts relating to the printed boards.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: June 24, 2014
    Assignee: Zuken Inc.
    Inventor: Satoshi Nakamura
  • Patent number: 8762912
    Abstract: Some embodiments provide a system that facilitates the creation of a layout from a schematic in an electronic design automation (EDA) application. During operation, the system performs a tiered comparison of the schematic and the layout. The tiered comparison includes a first tier that compares labels in the schematic and the layout. The tiered comparison also includes a second tier that compares first-level connectivity in the schematic and the layout. The tiered comparison further includes a third tier that determines a graph isomorphism between the schematic and the layout. After the tiered comparison is completed, the system provides a result of the tiered comparison to a user of the EDA application. Finally, the system enables repairs of mismatches in the result by the user through a graphical user interface (GUI) associated with the EDA application.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: June 24, 2014
    Assignee: Synopsys, Inc.
    Inventors: Wern-Jieh Sun, Haichun Chun, Ernst W. Mayer, Greg Woolhiser, Kuldeep Karlcut
  • Patent number: 8756560
    Abstract: A method for designing a dummy pattern that is formed in a vacant section of a chip region before a semiconductor substrate including the chip region that has a device graphics data section in which a circuit element pattern is formed and the vacant section in which the circuit element pattern is not formed is planarized by a chemical mechanical polishing process, the method includes: setting an overall dummy section on the entire chip region; setting a mesh section on the entire overall dummy section; dividing the overall dummy section by the mesh section so that a plurality of rectangular dummy patterns is formed on the entire chip region after the mesh section is set; and removing or transforming a part of the rectangular dummy patterns, thereby uniformizing a density of the dummy pattern in the chip region.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: June 17, 2014
    Inventor: Yorio Takada
  • Patent number: 8756555
    Abstract: A standard cell library is disclosed. The standard cell library contains cells wherein at least one transistor in at least one cell is annotated for gate length biasing. Gate length biasing includes the modification of the gate length, so as to change the speed or power consumption of the modified gate length. The standard cell library is one used in the manufacturing of semiconductor devices (e.g., that result as semiconductor chips), by way of fabricating features defined on one or more layouts of geometric shapes. The annotations serve to identify which ones of the transistor gate features are to be modified before using the geometric shapes for manufacturing the semiconductor device.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: June 17, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 8756558
    Abstract: A computer program for generating a layout for a ferroelectric random access memory (FRAM) that is embodied on a non-transitory storage medium and executable by a processor is provided. FRAM specifications are received, and an FRAM floorplan and design rules are retrieved from the non-transitory storage medium. The layout for the FRAM based on the FRAM specifications and design rules is then assembled.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: June 17, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: David J. Toops, Michael P. Clinton
  • Patent number: 8751978
    Abstract: Among other things, one or more techniques for balancing mask loading are provided herein. In some embodiments, a dummy mask assignment is assigned to a dummy within a mask layout based on an area of a polygon within the mask layout. In some embodiments, the dummy mask comprising the dummy mask assignment is inserted in the mask layout. In some embodiments, a window is created such that dummies within the window receive dummy mask assignments. In some embodiments, a halo is created such that the area of the polygon is determined based on the halo. Additionally, in some examples, the window and halo are shifted around the mask layout. In this manner, balanced mask loading is provided, thus enhancing a yield associated with the mask layout, for example.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: June 10, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: HungLung Lin, Chin-Chang Hsu, Wen-Ju Yang, C. R. Hsu
  • Patent number: 8751996
    Abstract: A system of automatically routing interconnect of a integrated circuit design while taking into consideration the parasitic issues of the wiring as it is created. The system will be able to select an appropriate wiring pattern so that signals meet their performance requirements.
    Type: Grant
    Filed: December 11, 2012
    Date of Patent: June 10, 2014
    Assignee: Pulsic Limited
    Inventors: Jeremy Birch, Mark Waller, Mark Williams, Graham Balsdon, Fumiaki Sato, Tim Parker