Patents Assigned to Applied Material Inc.
  • Patent number: 11972964
    Abstract: A vacuum orientation module for a substrate processing system is described. The module includes at least a first vacuum orientation chamber, comprising: a vacuum chamber; a first transportation track within the vacuum chamber, the first transportation track having a first support structure and a first driving structure and defining a transportation direction; an orientation actuator to change the substrate orientation between a non-vertical orientation and a non-horizontal orientation, the vacuum chamber has a first pair of two slit openings, particularly essentially vertical slit openings, at opposing side walls of the vacuum chamber in the transportation direction; and a second transportation track within the vacuum chamber, the second transportation track having a second support structure and a second driving structure extending along the transportation direction, the vacuum chamber has a second pair of two slit openings at the opposing side walls of the vacuum chamber.
    Type: Grant
    Filed: July 25, 2019
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Sebastian Gunther Zang, Jürgen Henrich
  • Patent number: 11973034
    Abstract: Exemplary methods of electroplating a metal with a nanotwin crystal structure are described. The methods may include plating a metal material into at least one opening on a patterned substrate, where at least a portion of the metal material is characterized by a nanotwin crystal structure. The methods may further include polishing an exposed surface of the metal material in the opening to reduce an average surface roughness of the exposed surface to less than or about 1 nm. The polished exposed surface may include at least a portion of the metal material characterized by the nanotwin crystal structure. In additional examples, the nanotwin-phased metal may be nanotwin-phased copper.
    Type: Grant
    Filed: August 25, 2021
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Eric J. Bergman, John L. Klocke, Marvin L. Bernt, Jing Xu, Kwan Wook Roh
  • Patent number: 11974423
    Abstract: Examples herein relate to three-dimensional (3D) dynamic random access memory (DRAM) devices and replacement channel processes for fabricating 3D DRAM devices. In an example, a gate dielectric layer is formed on a sacrificial material, and a gate electrode is formed on the gate dielectric layer. After the gate electrode is formed, the sacrificial material is removed and replaced by a semiconductor material. A channel region of a device (e.g., a transistor) that includes the gate dielectric layer and gate electrode is formed in the semiconductor material. The channel region can be vertical or horizontal with respect to a main surface of a substrate on which the device is formed. A capacitor can be formed, such as before or after the semiconductor material is formed, and is electrically connected to the semiconductor material. The device and the capacitor together can form at least part of a 3D DRAM cell.
    Type: Grant
    Filed: December 15, 2021
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Fredrick Fishburn, Arvind Kumar, Sony Varghese
  • Patent number: 11974457
    Abstract: An organic light-emitting diode (OLED) device includes a substrate, a well structure on the substrate with the well structure having a recess with side walls and a floor, a lower metal layer covering the floor and side-walls of the well, an upper conductive layer on the lower metal layer covering the floor of the well and contacting the lower metal layer, the upper conductive layer having outer edges at about an intersection of the side walls and the floor, a dielectric layer formed of an oxide of the lower metal layer covering the side walls of the well without covering the upper conductive layer, a stack of OLED layers covering at least the floor of the well, the upper conductive layer providing an electrode for the stack of OLED layers, and a light extraction layer (LEL) in the well over the stack of OLED layers and the dielectric layer.
    Type: Grant
    Filed: April 7, 2023
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Gang Yu, Chung-Chia Chen, Wan-Yu Lin, Hyunsung Bang, Lisong Xu, Byung Sung Kwak, Robert Jan Visser
  • Patent number: 11972943
    Abstract: Methods and apparatus for depositing a dielectric material include: providing a first gas mixture into a processing chamber having a substrate disposed therein; forming a first remote plasma comprising first radicals in a remote plasma source and delivering the first radicals to an interior processing region in the processing chamber to form a layer of dielectric material in an opening in a material layer disposed on the substrate in a presence of the first gas mixture and the first radicals; terminating the first remote plasma and applying a first RF bias power to the processing chamber to form a first bias plasma; contacting the layer of dielectric material with the first bias plasma to form a first treated layer of dielectric material; and subsequently forming a second remote plasma comprising second radicals in the remote plasma source and delivering the second radicals to the interior processing region in the processing chamber in a presence of a second gas mixture while applying a second RF bias power t
    Type: Grant
    Filed: September 20, 2019
    Date of Patent: April 30, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bhargav S. Citla, Jethro Tannos, Srinivas D Nemani, Joshua Rubnitz
  • Patent number: 11972924
    Abstract: Embodiments provided herein generally include apparatus, e.g., plasma processing systems, and methods for the plasma processing of a substrate in a processing chamber. Some embodiments are directed to a waveform generator. The waveform generator generally includes a first voltage stage having: a first voltage source; a first switch; a ground reference; a transformer having a first transformer ratio, the first transformer comprising: a primary winding coupled to the first voltage source and the ground reference; and a secondary winding having a first end and a second end, wherein the first end is coupled to the ground reference, and the second end is configured to be coupled to a load through a common node; and a first diode coupled in parallel with the primary winding of the first transformer. The waveform generator generally also includes one or more additional voltage stages coupled to a load through the common node.
    Type: Grant
    Filed: June 8, 2022
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: A N M Wasekul Azad, Kartik Ramaswamy, Yang Yang, Yue Guo, Fernando Silveira
  • Patent number: 11972930
    Abstract: A plasma reactor has a cylindrical microwave cavity overlying a workpiece processing chamber, a microwave source having a pair of microwave source outputs, and a pair of respective waveguides. The cavity has first and second input ports in a sidewall and space apart by an azimuthal angle. Each of the waveguides has a microwave input end coupled to a microwave source output and a microwave output end coupled to a respective one of the first and second input ports, a coupling aperture plate at the output end with a rectangular coupling aperture in the coupling aperture plate, and an iris plate between the coupling aperture plate and the microwave input end with a rectangular iris opening in the iris plate.
    Type: Grant
    Filed: December 6, 2021
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Satoru Kobayashi, Hideo Sugai, Toan Tran, Soonam Park, Dmitry Lubomirsky
  • Patent number: 11970777
    Abstract: Methods for atomic layer deposition (ALD) or plasma enhanced atomic layer deposition (PEALD) of low-K films are described. A method of depositing a film comprises exposing a substrate to a silicon precursor having the general formulae (Ia), (Ib), (Ic), (Id), (IX), or (X); and exposing the substrate to an oxidant to react with the silicon-containing film to form one or more of a silicon oxycarbide (SiOC) film or a silicon oxycarbonitride (SiOCN) film on the substrate, the oxidant comprising one or more of a carboxylic acid, an aldehyde, a ketone, an ethenediol, an oxalic acid, a glyoxylic acid, a peroxide, an alcohol, and a glyoxal.
    Type: Grant
    Filed: June 24, 2022
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Shuaidi Zhang, Ning Li, Mihaela A. Balseanu, Bhaskar Jyoti Bhuyan, Mark Saly, Thomas Knisley
  • Patent number: 11969854
    Abstract: Controlling a polishing system includes receiving from an in-situ monitoring system, for each region of a plurality of regions on a substrate being processed by the polishing system, a sequence of characterizing values for the region. For each region, a polishing rate is determined for the region, and an adjustment is calculated for at least one processing parameter. For each of a plurality of parameter update times, an adjustment is calculated for at least one processing parameter, wherein calculation of the adjustment for a particular parameter update time from the plurality of parameter update times includes calculation of expected future parameter changes for one or more future parameter update times subsequent to the particular parameter update time.
    Type: Grant
    Filed: February 28, 2022
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Benjamin Cherian, Sivakumar Dhandapani
  • Patent number: 11969855
    Abstract: A method of controlling polishing includes polishing a substrate, monitoring the substrate during polishing with an in-situ monitoring system, filtering a signal from the monitoring system to generate a filtered signal, and determining at least one of a polishing endpoint or an adjustment for a polishing rate from the filtered signal. The filtering includes modelling a plurality of periodic disturbances at a plurality of different frequencies using a plurality of disturbance states, modelling an underlying signal using a plant state, and applying a linear prediction filter to the plant state and the plurality of disturbance states to generate a filtered signal representing the underlying signal.
    Type: Grant
    Filed: May 17, 2023
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventor: Sivakumar Dhandapani
  • Patent number: 11972940
    Abstract: Methods of selectively depositing a carbon-containing layer are described. Exemplary processing methods may include flowing a first precursor over a substrate comprising a metal surface and a non-metal surface to form a first portion of an initial carbon-containing film on the metal surface. The methods may include removing a first precursor effluent from the substrate. A second precursor may then be flowed over the substrate to react with the first portion of the initial carbon-containing layer. The methods may include removing a second precursor effluent from the substrate. The methods may include pre-treating the metal surface of the substrate to form a metal oxide surface on the metal surface.
    Type: Grant
    Filed: April 18, 2022
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Xinke Wang, Bhaskar Jyoti Bhuyan, Zeqing Shen, Susmit Singha Roy, Abhijit Basu Mallick, Jiecong Tang, John Sudijono, Mark Saly
  • Patent number: 11969781
    Abstract: A method and apparatus for manufacturing a flexible layer stack, and to a flexible layer stack. Implementations of the present disclosure particularly relate to a method and apparatus for coating flexible substrates with a low melting temperature metal or metal alloy. In one implementation, a method is provided. The method includes delivering a transfer liquid to a quenching surface of a rotating casting drum. The method further includes forming a material layer stack over the rotating casting drum by delivering a molten metal or molten metal alloy toward the quenching surface of the rotating casting drum. The method further includes transferring the material layer stack from the rotating casting drum to a continuous flexible substrate, wherein the quenching surface of the rotating casting drum is cooled to a temperature at which the layers of the material layer stack solidify.
    Type: Grant
    Filed: November 23, 2021
    Date of Patent: April 30, 2024
    Assignee: Applied Materials, Inc.
    Inventor: Subramanya P. Herle
  • Patent number: 11970775
    Abstract: Embodiments of a showerhead are described herein. In some embodiments, a showerhead assembly includes: a first gas delivery portion having a first body, a first inlet, and a plurality of first tubes extending from the first body and defining a first plenum, wherein each tube of the plurality of first tubes includes a plurality of first holes; and a second gas delivery portion having a second body, a second inlet, and a plurality of second tubes extending from the second body and defining a second plenum fluidly independent from the first plenum, wherein each tube of the plurality of second tubes includes a plurality of second holes, and wherein the plurality of first tubes are disposed in an alternating pattern with the plurality of second tubes across a width of the showerhead assembly and a heat sink disposed between the plurality of first tubes and the plurality of second tubes.
    Type: Grant
    Filed: August 6, 2019
    Date of Patent: April 30, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Prashanth Kothnur, Satish Radhakrishnan, Alexander Lerner, Sergei Klimovich, Roey Shaviv
  • Publication number: 20240136194
    Abstract: Disclosed herein are approaches for device modification, namely, trench elongation. In one approach, a method may include providing a substrate including a plurality of surface features defining a plurality of trenches, wherein a first trench has a first trench length extending in a first direction, wherein a second trench connected to the first trench has a second trench length extending in a second direction, and wherein the first direction and the second direction are non-parallel. The method may further include delivering ions into the substrate in a reactive ion etching process, wherein the ions are delivered at a non-zero angle relative to a perpendicular extending from the substrate, and wherein the reactive ion etching process increases the first trench length of the first trench without increasing the second trench length of the second trench.
    Type: Application
    Filed: October 18, 2022
    Publication date: April 25, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Tassie Andersen, Shurong Liang
  • Publication number: 20240134151
    Abstract: A reflector and processing chamber having the same are described herein. In one example, a reflector is provided that includes cylindrical body, a cooling channel, and a reflective coating. The cylindrical body has an upper surface and a lower surface. The lower surface has a plurality of concave reflector structures disposed around a centerline of the cylindrical body. The cooling channel disposed in or on the cylindrical body. The reflective coating is disposed on the plurality of concave reflector structures.
    Type: Application
    Filed: October 20, 2022
    Publication date: April 25, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Ala MORADIAN, Amir H. TAVAKOLI, Peter REIMER, Shu-Kwan LAU
  • Publication number: 20240136197
    Abstract: Disclosed herein are approaches for modifying patterned features using a directional etch. In one approach, a method may include providing a stack of layers of a semiconductor device, forming an opening through the stack of layers, the opening defined by a first sidewall and a second sidewall, and delivering ions into the first sidewall in a reactive ion etching process. The ions maybe delivered at a first non-zero angle relative to a perpendicular extending from the substrate, wherein the reactive ion etching process removes a first portion of the stack of layers from just a lower section of the first sidewall.
    Type: Application
    Filed: October 18, 2022
    Publication date: April 25, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Tassie Andersen, Shurong Liang
  • Patent number: 11965241
    Abstract: In one aspect, a process operation is conducted at a first pressure in a process chamber, and an epitaxial deposition operation is conducted at an atmospheric pressure in an epitaxial deposition chamber. The atmospheric pressure is greater than the first pressure. The process chamber is mounted to a first mainframe that operates at the first pressure (a reduced pressure), and the epitaxial deposition chamber is mounted to a second mainframe that operates at the atmospheric chamber. In one aspect, the process chamber is a cleaning chamber (such as a pre-clean chamber) and the process operation is a cleaning operation. In one aspect, the process chamber is an atmospheric pressure epitaxial deposition chamber and the process operation is an atmospheric pressure epitaxial deposition operation.
    Type: Grant
    Filed: July 20, 2022
    Date of Patent: April 23, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Saurabh Chopra, Martin Jeffrey Salinas, Masato Ishii, Sheng-Chen Twan, Srividya Natarajan
  • Patent number: 11964343
    Abstract: A process of producing optical devices is provided including transferring a first substrate comprising one or more devices to a laser dicing tool, the laser dicing tool including a filamentation stage and a singulation stage. One or more device contours are created on the first substrate in the filamentation stage. The optical devices are singulated from the first substrate along the one or more device contours in the singulation stage. The devices are transferred to storage or for further backend processing.
    Type: Grant
    Filed: February 24, 2021
    Date of Patent: April 23, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Mahendran Chidambaram, Shmuel Erez, Wei-Sheng Lei, John Rusconi
  • Patent number: 11964359
    Abstract: Implementations described herein generally relate to polishing articles and methods of manufacturing polishing articles used in polishing processes and cleaning processes. More particularly, implementations disclosed herein relate to composite polishing articles having graded properties. In one implementation, a polishing article is provided. The polishing article comprises one or more exposed first regions formed from a first material and having a first zeta potential and one or more second exposed regions formed from a second material and having a second zeta potential, wherein the first zeta potential is different from the second zeta potential.
    Type: Grant
    Filed: October 23, 2019
    Date of Patent: April 23, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ashwin Chockalingham, Mahendra C. Orilall, Mayu Yamamura, Boyi Fu, Rajeev Bajaj, Daniel Redfield
  • Patent number: D1024149
    Type: Grant
    Filed: December 16, 2022
    Date of Patent: April 23, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Martin Lee Riker, Luke Vianney Varkey, Xiangjin Xie