Patents Assigned to Applied Materials, Inc.
  • Publication number: 20240087899
    Abstract: Methods of manufacturing and processing semiconductor devices (i.e., electronic devices) are described. The methods include treating a surface of a metal gate stack with a radical treatment. The radical treatment may be used to treat one or more layers or surfaces of layers in the metal gate stack. The radical treatment may be performed once or multiple times during the methods described herein. The radical treatment comprises flowing one or more of nitrogen radicals (N2*) and hydrogen radicals (H*) over the surface of the metal gate stack.
    Type: Application
    Filed: September 9, 2022
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Zhihui Liu, Seshadri Ganguli, Tianyi Huang, Yixiong Yang, Srinivas Gandikota, Yuanhua Zheng, Yongjing Lin, Keyur Karandikar, Elizabeth Mao
  • Publication number: 20240087894
    Abstract: Embodiments of the present disclosure generally relate to deposition of high transparency, high-density carbon films for patterning applications. In one embodiment, a method of forming a carbon film on a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a temperature of about ?10° C. to about 20° C. and a chamber pressure of about 0.5 mTorr to about 10 Torr, and generating a plasma by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film containing about 60% or greater hybridized sp3 atoms on the substrate, wherein the first RF bias is provided at a power of about 1800 Watts to about 2200 Watts and at a frequency of about 40 MHz to about 162 MHz.
    Type: Application
    Filed: November 13, 2023
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Eswaranand VENKATASUBRAMANIAN, Samuel E. GOTTHEIM, Pramit MANNA, Abhijit B. MALLICK
  • Publication number: 20240087913
    Abstract: Describes are shutter disks comprising one or more of titanium (Ti), barium (Ba), or cerium (Ce) for physical vapor deposition (PVD) that allows pasting to minimize outgassing and control defects during etching of a substrate. The shutter disks incorporate getter materials that are highly selective to reactive gas molecules, including O2, CO, CO2, and water.
    Type: Application
    Filed: November 21, 2023
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Kang Zhang, Junqi Wei, Yueh Sheng Ow, Kelvin Boh, Yuichi Wada, Ananthkrishna Jupudi, Sarath Babu
  • Publication number: 20240087880
    Abstract: Embodiments include semiconductor processing methods to form low-? films on semiconductor substrates are described. The processing methods may include flowing one or more deposition precursors to a semiconductor processing system. The one or more deposition precursors may include a silicon-containing precursor that may be a cyclic compound. The methods may include generating a deposition plasma from the one or more deposition precursors. The methods may include depositing a silicon-and-carbon-containing material on the substrate from plasma effluents of the deposition plasma. The silicon-and-carbon-containing material as-deposited may be characterized by a dielectric constant less than or about 3.0.
    Type: Application
    Filed: August 26, 2022
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Shruba Gangopadhyay, Bhaskar Jyoti Bhuyan, Michael Haverty, Bo Xie, Li-Qun Xia, Rui Lu, Yijun Liu, Ruitong Xiong, Xiaobo Li, Lakmal C. Kalutarage, Lauren Bagby
  • Publication number: 20240087910
    Abstract: A semiconductor processing method may include providing a fluorine-containing precursor to a processing region of a semiconductor processing chamber. A substrate may be disposed within the processing region. The substrate may include an exposed region of silicon-and-oxygen-containing material. The substrate may include an exposed region of a liner material. The methods may include providing a hydrogen-containing precursor to the semiconductor processing region. The methods may include contacting the substrate with the fluorine-containing precursor and the hydrogen-containing precursor. The methods may include selectively removing at least a portion of the exposed silicon-and-oxygen-containing material.
    Type: Application
    Filed: September 14, 2022
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Lala Zhu, Shi Che, Dongqing Yang, Nitin K. Ingle
  • Publication number: 20240087889
    Abstract: The present disclosure provides systems and methods for processing channel structures of substrates that include positioning the substrate in a first processing chamber having a first processing volume. The substrate includes a channel structure with high aspect ratio features having aspect ratios greater than about 20:1. The method includes forming a silicon-containing layer over the channel structure to a hydrogen-or-deuterium plasma in the first processing volume at a flow rate of about 10 sccm to about 5000 sccm. The substrate is maintained at a temperature of about 100° C. to about 1100° C. during the exposing, the exposing forming a nucleated substrate. Subsequent to the exposing a thermal anneal operation is performed on the substrate.
    Type: Application
    Filed: November 17, 2023
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Xinming ZHANG, Abhilash J. MAYUR, Shashank SHARMA, Norman L. TAM, Matthew SPULLER
  • Publication number: 20240087881
    Abstract: Embodiments include semiconductor processing methods to form low-K films on semiconductor substrates are described. The processing methods may include flowing one or more deposition precursors to a semiconductor processing system, wherein the one or more deposition precursors include a silicon-containing precursor. The silicon-containing precursor may include a carbon chain. The methods may include generating a deposition plasma from the one or more deposition precursors. The methods may include depositing a silicon-and-carbon-containing material on the substrate from plasma effluents of the deposition plasma. The silicon-and-carbon-containing material as-deposited may be characterized by a dielectric constant less than or about 3.0.
    Type: Application
    Filed: August 26, 2022
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Michael Haverty, Shruba Gangopadhyay, Bo Xie, Yijun Liu, Ruitong Xiong, Rui Lu, Xiaobo Li, Li-Qun Xia, Lakmal C. Kalutarage, Lauren Bagby
  • Publication number: 20240087882
    Abstract: Exemplary semiconductor processing methods may include providing one or more deposition precursors to a processing region of a semiconductor processing chamber. The methods may include contacting a substrate housed in the processing region with the one or more deposition precursors. The methods may include forming a silicon-containing material on the substrate. The methods may include providing a fluorine-containing precursor to the processing region of the semiconductor processing chamber. The methods may include contacting the silicon-containing material on the substrate with the fluorine-containing precursor to form a fluorine-treated silicon-containing material. The methods may include contacting the fluorine-treated silicon-containing material with plasma effluents of argon or diatomic nitrogen.
    Type: Application
    Filed: September 9, 2022
    Publication date: March 14, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Siyu Zhu, Hang Yu, Deenesh Padhi, Sung-Kwan Kang, Abdul Wahab Mohammed, Abhijit Basu Mallick
  • Patent number: 11926903
    Abstract: Methods for etching alkali metal compounds are disclosed. Some embodiments of the disclosure expose an alkali metal compound to an alcohol to form a volatile metal alkoxide. Some embodiments of the disclosure expose an alkali metal compound to a ?-diketone to form a volatile alkali metal ?-diketonate compound. Some embodiments of the disclosure are performed in-situ after a deposition process. Some embodiments of the disclosure provide methods which selectively etch alkali metal compounds.
    Type: Grant
    Filed: June 9, 2022
    Date of Patent: March 12, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Nitin Deepak, Tapash Chakraborty, Prerna Sonthalia Goradia, Visweswaren Sivaramakrishnan, Nilesh Chimanrao Bagul, Bahubali S. Upadhye
  • Patent number: 11927482
    Abstract: One or more embodiments described herein generally relate to systems and methods for calibrating an optical emission spectrometer (OES) used for processing semiconductor substrates. In embodiments herein, a light fixture is mounted to a plate within a process chamber. A light source is positioned within the light fixture such that it provides an optical path that projects directly at a window through which the OES looks into the process chamber for its reading. When the light source is on, the OES measures the optical intensity of radiation from the light source. To calibrate the OES, the optical intensity of the light source is compared at two separate times when the light source is on. If the optical intensity of radiation at the first time is different than the optical intensity of radiation at the second time, the OES is modified.
    Type: Grant
    Filed: March 27, 2020
    Date of Patent: March 12, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Kin Pong Lo, Lara Hawrylchak, Malcolm J. Bevan, Theresa Kramer Guarini, Wei Liu, Bernard L. Hwang
  • Patent number: 11927543
    Abstract: A system includes a memory, and at least one processing device, operatively coupled to the memory, to facilitate an etch recipe development process by performing operations including obtaining, from an optical detector, first material thickness data for a first material and second material thickness data for a second material resulting from an iteration of an etch process using an etch recipe. The first material is located at a first reflectometry measurement point and the second material is located at a second reflectometry measurement point different from the first reflectometry measurement point. The operations further include determining one or more etch parameters based on at least the first material thickness data and the second material thickness data.
    Type: Grant
    Filed: January 26, 2023
    Date of Patent: March 12, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Blake Erickson, Keith Berding, Michael Kutney, Soumendra Barman, Zhaozhao Zhu, Michelle SanPedro, Suresh Polali Narayana Rao
  • Patent number: 11929278
    Abstract: Exemplary substrate support assemblies may include an electrostatic chuck body that defines a substrate support surface. The substrate support surface may define a plurality of protrusions that extend upward from the substrate support surface. A density of the plurality of protrusions within an outer region of the substrate support surface may be greater than in an inner region of the substrate support surface. The substrate support assemblies may include a support stem coupled with the electrostatic chuck body. The substrate support assemblies may include an electrode embedded within the electrostatic chuck body.
    Type: Grant
    Filed: May 19, 2021
    Date of Patent: March 12, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Madhu Santosh Kumar Mutyala, Saketh Pemmasani, Akshay Dhanakshirur, Mayur Govind Kulkarni, Hang Yu, Deenesh Padhi
  • Patent number: 11929236
    Abstract: Embodiments described herein relate to methods of tuning within semiconductor processes to improve plasma stability. In these embodiments, multiple matching networks are provided. Each of the matching networks couple a radio frequency (RF) source to one of multiple connection points located on an electrode. Based on tuning parameter information and physical geometry information, a controller determines a tuning sequence for the multiple matching networks. As such, some of the matching networks are tuned while the other matching networks are locked. Using multiple matching networks leads to a more uniform plasma within the process volume of the process chamber. Improved plasma uniformity leads to less substrate defects and better device performance. Additionally, in these embodiments, the ability to tune each of the matching networks in a sequence decreases or prevents interference from occurring between the matching networks.
    Type: Grant
    Filed: August 28, 2019
    Date of Patent: March 12, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shouqian Shao, Jianhua Zhou, Tae Kyung Won
  • Patent number: 11929241
    Abstract: Temperature measurement is described for a substrate carrier using a heater element array. In one example a method includes measuring a first combined current load of each of a plurality of heating elements in the electrostatic chuck, changing a power status of a first heating element of the plurality of heating elements, measuring a second combined current load of each of the plurality of heating elements after changing the power status of the first heating element, determining the difference between the first and second combined current loads, determining a temperature of the first heating element using the difference, and reverting the power status of the first heating element to that before the change and repeating changing power, measuring a current load, determining a difference, and determining a temperature for each of the other heating elements of the plurality to determine a temperature at each of the heating elements of the plurality.
    Type: Grant
    Filed: May 4, 2022
    Date of Patent: March 12, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Phillip Criminale, Zhiqiang Guo
  • Patent number: 11927535
    Abstract: An apparatus for determining a characteristic of a photoluminescent (PL) layer comprises: a light source that generates an excitation light that includes light from the visible or near-visible spectrum; an optical assembly configured to direct the excitation light onto a PL layer; a detector that is configured to receive a PL emission generated by the PL layer in response to the excitation light interacting with the PL layer and generate a signal based on the PL emission; and a computing device coupled to the detector and configured to receive the signal from the detector and determine a characteristic of the PL layer based on the signal.
    Type: Grant
    Filed: March 31, 2023
    Date of Patent: March 12, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Avishek Ghosh, Byung-Sung Kwak, Todd Egan, Robert Jan Visser, Gangadhar Banappanavar, Dinesh Kabra
  • Patent number: 11927885
    Abstract: An imprint lithography stamp includes a stamp body having a patterned surface and formed from a fluorinated ethylene propylene copolymer. The imprint lithography stamp further includes a backing plate with a plurality of through-holes with portions of the stamp body extending into the through-holes to adhere the stamp body to the backing plate. The patterned surface of the stamp body has a plurality of protrusions extending from the stamp body, which are used to form high aspect ratio features at high processing temperatures. A mold design for forming the imprint lithography stamp and an injection molding process for forming the imprint lithography stamp are also provided.
    Type: Grant
    Filed: August 8, 2022
    Date of Patent: March 12, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Roman Gouk, Jean Delmas, Steven Verhaverbeke, Chintan Buch
  • Patent number: 11930637
    Abstract: Described is selective deposition of a silicon nitride (SiN) trap layer to form a memory device. A sacrificial layer is used for selective deposition in order to permit selective trap deposition. The trap layer is formed by deposition of a mold including a sacrificial layer, memory hole (MH) patterning, sacrificial layer recess from MH side, forming a deposition-enabling layer (DEL) on a side of the recess, and selective deposition of trap layer. After removing the sacrificial layer from a slit pattern opening, the deposition-enabling layer (DEL) is converted into an oxide to be used as blocking oxide.
    Type: Grant
    Filed: June 14, 2021
    Date of Patent: March 12, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Chang Seok Kang, Tomohiko Kitajima, Mihaela A. Balseanu
  • Patent number: 11929260
    Abstract: Embodiments of methods and apparatus for reducing warpage of a substrate are provided herein. In some embodiments, a method for reducing warpage of a substrate includes: applying an epoxy mold over a plurality of dies on the substrate in a dispenser tool; placing the substrate on a pedestal in a curing chamber, wherein the substrate has an expected post-cure deflection in a first direction; inducing a curvature on the substrate in a direction opposite the first direction; and curing the substrate by heating the substrate in the curing chamber.
    Type: Grant
    Filed: August 24, 2021
    Date of Patent: March 12, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Fang Jie Lim, Chin Wei Tan, Jun-Liang Su, Felix Deng, Sai Kumar Kodumuri, Ananthkrishna Jupudi, Nuno Yen-Chu Chen
  • Patent number: 11929264
    Abstract: A substrate cleaning and drying system includes a cleaning station, a drying station positioned adjacent the cleaning station, a cleaner robot to transfer a substrate from the cleaning station to the drying station, an aligner stage adjacent to the drying station, a robot arm rotatable between a substantially vertical first position for receiving the substrate from the drying station and a substantially horizontal second position for releasing the substrate onto the aligner stage, and a factory interface robot to transfer a substrate from the aligner stage into a factory interface module while in a horizontal orientation. The aligner stage includes a rotatable support to hold the substrate in a substantially horizontal orientation and to rotate the substrate to a desired orientation.
    Type: Grant
    Filed: February 25, 2022
    Date of Patent: March 12, 2024
    Assignee: Applied Materials, Inc.
    Inventor: Justin Ho Kuen Wong
  • Publication number: 20240079220
    Abstract: Semiconductor processing systems and methods are disclosed. An exemplary semiconductor processing system may include a semiconductor processing chamber containing a solid boron deposit, a remote plasma unit disposed upstream of the semiconductor processing chamber, and an optical absorption sensor disposed downstream of the semiconductor processing chamber. The remote plasma unit may be configured to generate plasma effluents from a fluorine-containing precursor. The optical absorption sensor may be configured to measure within an outflow from the semiconductor processing chamber a level of a boron-containing compound produced via a reaction between at least a portion of the solid boron deposit and the plasma effluents flowed from the remote plasma unit into the semiconductor processing chamber.
    Type: Application
    Filed: November 8, 2023
    Publication date: March 7, 2024
    Applicant: Applied Materials, Inc.
    Inventors: Fang Ruan, Diwakar Kedlaya