Patents Assigned to Cadence Design Systems, Inc.
  • Patent number: 10528688
    Abstract: Embodiments include herein are directed towards a method for generating an input/output model from a SPICE (Simulation Program with Integrated Circuit Emphasis) netlist. Embodiments may include receiving, using a processor, a SPICE netlist associated with an electronic design and selecting at least a portion of the SPICE netlist for analysis. Embodiments may further include reading the selected portion of the SPICE netlist and rendering a schematic symbol corresponding to the selected portion of the netlist. Embodiments may also include performing one or more operations associated with the schematic symbol and translating the one or more operations into simulation commands.
    Type: Grant
    Filed: December 18, 2017
    Date of Patent: January 7, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Rameet Pal, Taranjit Singh Kukal, Rajesh Prasad Singh
  • Patent number: 10528689
    Abstract: A system and methods to verify a correctness of data formatted according to an IEEE P1687 (IJTAG) standard, in connection with migration of test patterns from an instrument level to a top level of an integrated circuit design. Data describing an integrated circuit at the instrument level and at the top level is read from Instrument Connectivity Language (ICL) files, Procedural Description Language (PDL) files, and hardware description language (HDL) files. The methods include at least one of verifying structural descriptions of the integrated circuit in the ICL files and verifying an ability to use chip level inputs to access instruments in the integrated circuit. The verification procedure is performed prior to a simulation in which a migrated test pattern is applied to the integrated circuit.
    Type: Grant
    Filed: November 29, 2016
    Date of Patent: January 7, 2020
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Rajesh Khurana, Vivek Chickermane, Dhruv Dua, Krishna Vijaya Chakravadhanula
  • Patent number: 10521531
    Abstract: The present disclosure relates to a method for formal verification of an electronic design. Embodiments may include receiving, using a processor, an electronic design having a plurality of clock configurations associated therewith and identifying a target clock configuration associated with the electronic design. Embodiments may also include receiving a range of clock factor values from a user, wherein each clock factor value corresponds to a frequency of the target clock configuration. Embodiments may further include selecting, via a formal engine, at least one clock factor value from the range and selecting, via the formal engine, at least one clock phase associated with the target clock configuration. Embodiments may also include performing formal verification of the electronic design, based upon, at least in part, the at least one clock factor value or the at least one clock phase.
    Type: Grant
    Filed: November 9, 2017
    Date of Patent: December 31, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Frederico Nascimento-Yoshida, Matheus Nogueira Fonseca
  • Patent number: 10521097
    Abstract: Described herein is an improved approach to implement routing for electrical designs. A structural routing solution is provided, where a routing system is implemented to generate a complete routing tree. A user interface is provided that captures users' design intent about topology of an electrical design, and the routing system adheres to that user's design intent about the topology throughout a layout process for the electrical design.
    Type: Grant
    Filed: September 29, 2017
    Date of Patent: December 31, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Hoi-Kuen Lam, Chung-Do Yang, John Mario Wilkosz
  • Patent number: 10521543
    Abstract: Disclosed herein are embodiments of systems, methods, and products for dynamically determining and rendering a target resistance of a partially routed net between two circuit devices in an integrated circuit (IC) design and automatically resizing a wire segment being edited in real time based on the target resistance such that the fully routed net satisfies the maximum resistance constraint. Therefore, the embodiments disclosed herein simplify the circuit designer's job and improves design productivity. Unlike conventional systems, an EDA tool disclosed herein does not have to route the full net between two circuit devices to run design rule checking (DRC). Thus, the EDA tool does not require multiple iterations of fully routing a net and checking for DRC violations such that the maximum resistance constraint is not violated.
    Type: Grant
    Filed: July 6, 2017
    Date of Patent: December 31, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventor: Laurent Saint-Marcel
  • Patent number: 10515169
    Abstract: The present disclosure is directed towards electronic circuit design and verification. Embodiments may include receiving, using a processor, source code corresponding to at least a portion of an electronic design and generating at least one coverage model for each of a dynamic verification and a formal verification. The method may further include determining a formal data set including stimuli coverage status, cone of influence coverage status, and proof coverage status and consolidating the formal data set using a user-programmable consolidation function to generate a combined formal coverage data set.
    Type: Grant
    Filed: January 6, 2018
    Date of Patent: December 24, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: David Ryan Spatafore, Amit Verma, Anubhav Srivastava
  • Patent number: 10515180
    Abstract: Disclosed is an approach to implement snapping techniques that aid the interactive, assisted, or automatic placement of layout instances or groups of layout instances for generating a legal placement layout while reducing or entirely eliminating any subsequent or separate performance of design rule checking with respect to the relevant design rules, constraints, or requirements governing the legality of the instances or groups of instances placed in the placement layout.
    Type: Grant
    Filed: December 30, 2016
    Date of Patent: December 24, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Karun Sharma, Henry Yu, John Hainsworth, Kuoching Lin, Jeff Taraldson, Hui Xu
  • Patent number: 10515176
    Abstract: The present disclosure relates to a computer-implemented method for visualizing one or more IP-XACT component data routes is provided. The method may include receiving, using at least one processor, an IP-XACT description of one or design elements including at least one target ingress interface, and at least one of an initiator egress interface, a memory map and an address space. The method may further include analyzing, using the at least one processor, the IP-XACT description of the one or design elements and displaying a graphical user interface, based upon, at least in part, the IP-XACT description of one or design elements, wherein the graphical user interface is configured to display the at least one target ingress interface, and any number of the initiator egress interface, the memory map and the address space.
    Type: Grant
    Filed: October 19, 2016
    Date of Patent: December 24, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Uri Joel Maoz, Ronen Shoham
  • Patent number: 10515174
    Abstract: The present embodiments relate to generation of an interface model for performing a power analysis on a hierarchical integrated circuit design. According to some aspects, embodiments relate to a method of power analysis. The method can include partitioning an integrated circuit design into at least a first partition and a second partition sharing an interface with the first partition. The method can include generating a connectivity database of a signal net traversing from the first partition to the second partition across the first interface. The method can include determining a slew rate and a signal arrival time at the input pin of the destination cell, a capacitance load of the signal net, and one or more signal transitions and signal states on the signal net. The method can include calculating the power consumption of the circuit elements in the first partition using the connectivity database, and the determined information.
    Type: Grant
    Filed: July 31, 2018
    Date of Patent: December 24, 2019
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Avnish Varma, Rishabh, Xin Gu
  • Patent number: 10515177
    Abstract: Disclosed are techniques for implementing routing aware floorplanning or placement for an electronic design. These techniques preprocess an electronic design and a plurality of inputs for a floorplanner or placer, identify a tentative location for inserting a block comprising one or more pins into a floorplan or placement layout, snap the block to a legal location based at least in part upon one or more characteristics of the one or more pins or one or more pseudo-pins, and update the floorplan or placement layout with one or more geometric routes based in part or in whole upon the legal location.
    Type: Grant
    Filed: June 29, 2017
    Date of Patent: December 24, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Roland Ruehl, Henry Yu, Joshua Alexander Baudhuin
  • Patent number: 10509877
    Abstract: Systems, methods, and products having pipelined inputs to and outputs from an emulator are disclosed. Using a pipeline may allow the round trip cable delay (RTCD) to be spread across two or more clock cycles. In an embodiment, an emulation system may store input data received from a target device during a first clock cycle at a target timing domain interfacing component (TTD), and transmit the stored input data during a second clock cycle after the first clock cycle. In another embodiment, the emulation system may delay transmitting the input data received at the TTD during the first clock cycle such that that the input data reaches the emulator at a predetermined time during the second clock cycle. As the RTCD is spread across multiple clock cycles, the emulation system may implement faster clocks.
    Type: Grant
    Filed: December 9, 2016
    Date of Patent: December 17, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Mitchell G. Poplack, Viktor Salitrennik, Gavin Zawalski
  • Patent number: 10509878
    Abstract: Systems, methods, media, and other such embodiments are described for routing track assignment in a circuit design. One embodiment involves accessing routing data for a circuit design, and a first wire of a plurality of wires in the routing data. A second wire is identified that is related to the first wire as a parent wire along a shared routing direction. A misalignment value is calculated for the first wire and the second wire, and a new routing placement is selected for the first wire based at least in part on the misalignment value. In some embodiments, all wires in various routings of a circuit design are checked for possible misalignment in order to improve slew performance via reduction of unnecessary vias.
    Type: Grant
    Filed: August 28, 2017
    Date of Patent: December 17, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yi-Xiao Ding, Zhuo Li, Wen-Hao Liu
  • Patent number: 10503243
    Abstract: Disclosed herein are systems and methods of an emulation system. A hardware emulator of an emulation system includes a method of hardware emulation on a computer. The method may include reading in, by the computer, a hardware description language file and a low power intent file and compiling the hardware description language file and the low power intent file into an emulation image. Embodiments may include loading, the emulation image into an emulator, running, the emulation image under a test environment including using a coverage counter specific to low power coverage, created based on the hardware description language file and the low power intent file, using the coverage counters to inform the test environment, generating, by the computer, a report file including a set of low power coverage metrics based on a low power coverage data item, and presenting the report file to a user via a user interface.
    Type: Grant
    Filed: December 21, 2016
    Date of Patent: December 10, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Platon Beletsky, Bing Zhu, Jennifer Lee
  • Patent number: 10503854
    Abstract: A method for generating a validation test, may include obtaining, using a processor, a validated scenario for generating a test for a verification model, the validated scenario represented in the form of a directed acyclic graph with a plurality of actions as nodes of the graph. The method may also include analyzing, using the processor, the graph to identify an action of said plurality of actions designed to be executed on a thread that is associated with a faulty scheduler of a verification model to be tested. The method may further include, upon identifying the identified action, amending, using the processor, the verified scenario by removing the identified action from the graph.
    Type: Grant
    Filed: October 5, 2017
    Date of Patent: December 10, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventor: Meir Ovadia
  • Patent number: 10504607
    Abstract: An exemplary fuse control arrangement can be provided, which can include, for example, a fuse control unit(s), which includes a test access method interface(s) and a programmable memory(ies), wherein the fuse control unit(s) is configured to provide fuse information to repair a memory(ies). The fuse control unit(s) can be coupled to the memory(ies) and the memory(ies) can be coupled to a register repair unit(s). The fuse control unit(s) can provide the register repair unit(s) with the fuse information to repair the memory(ies).
    Type: Grant
    Filed: September 29, 2017
    Date of Patent: December 10, 2019
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Steven Lee Gregor, Puneet Arora, Norman Robert Card
  • Patent number: 10503858
    Abstract: Disclosed are techniques for implementing group legal placement on rows and grids for an electronic design. These techniques identify a group comprising a plurality of instances. A proxy is identified from the plurality of instances. The group is placed in a row region based in part or in whole upon a plurality of permissible characteristics for the proxy without considering permissible characteristics of one or more remaining instances in the group. A group legality may be performed to determine whether the group is placed in the row region in a group legal manner.
    Type: Grant
    Filed: December 30, 2016
    Date of Patent: December 10, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Henry Yu, Kuoching Lin, Hui Xu
  • Patent number: 10503862
    Abstract: A circuit editor generates a graphic rendering of an electronic circuit design for partial display in a visual canvas on a display unit. The circuit editor detects aberrant arrangements of circuit elements which violate predetermined circuit layout criteria, such as minimum spacing between the edges or corners of circuit elements, and forms a correction scheme to rearrange the circuit elements such that consistency with the circuit layout criteria is restored. When the aberrant arrangements are not themselves displayed in the visual canvas, the circuit editor generates visual indications of the layout violation and of the correction scheme, the latter being used to guide user correction of the violation.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: December 10, 2019
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Sanjib Ghosh, Anup Kumar Lohiya, Preeti Kapoor
  • Patent number: 10496767
    Abstract: The present disclosure relates to non-linear systems associated with an electronic circuit design. Embodiments may include identifying the non-linear system associated with the electronic circuit design and determining a degree of severity of non-linearity of the non-linear system associated with the electronic circuit design. If the degree of severity is less than a predefined threshold, embodiments may further include receiving a random input pattern and deriving a single impulse response characterization, wherein the random input pattern is based upon, at least in part, an electronic circuit simulation associated with the electronic circuit design.
    Type: Grant
    Filed: July 5, 2016
    Date of Patent: December 3, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Kumar Chidhambara Keshavan, Ambrish Kant Varma, Hui Qi, Kenneth Robert Willis, Xuegang Zeng
  • Patent number: 10498345
    Abstract: Various embodiments described herein provide a multiple injection lock ring-based PI that can inject a plurality of clock signals, of different phases, at injection points disposed along the ring chain of the PI and lock phase to those received clock signals (injected clock signals). For instance, an embodiment described herein may provide a multiple injection lock ring-based PI that permits double injection, triple injection, or the like, of clock signals external into the PI.
    Type: Grant
    Filed: June 30, 2017
    Date of Patent: December 3, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sambarta Rakshit, Eric Harris Naviasky
  • Patent number: 10496772
    Abstract: Disclosed herein are embodiments for generating hierarchical rotating pcells (parametrized cells) design from a user provided static hierarchical design. An EDA (Electronic Design Automation) tool may receive a hierarchical static design and allow the user to instantiate a top level hierarchical rotating pcell using one or more parameters including an angle parameter to indicate a rotation angle. Based on the one or more parameters, the EDA tool may recursively identify, in the user's static hierarchical design, lower level static cells and replace them with the hierarchical rotating pcells based on the angle parameter in the already instantiated upper level hierarchical rotating pcells. The EDA tool may instantiate and re-instantiate hierarchical rotating pcells until leaf-level cells have been reached to dynamically generate an IC (integrated circuit) design with hierarchical rotating pcells from the user's static hierarchical design such that rotation can be accomplished without flattening the IC design.
    Type: Grant
    Filed: December 19, 2017
    Date of Patent: December 3, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Arnold Ginetti, Jean-Noel Pic