Patents Examined by Christopher Young
  • Patent number: 9229316
    Abstract: Disclosed is a method for producing a substrate with a multilayer reflective film for EUV lithography including a multilayer reflective film disposed on a principal surface of a substrate, the method including a multilayer reflective film formation step of forming the multilayer reflective film on the principal surface of the substrate in such a manner that the multilayer reflective film has a slope region in which the film thickness is decreased in a direction from the inside to the outside of the substrate on a peripheral portion of the principal surface, and a fiducial mark formation step of forming fiducial marks in the slope region by removing at least a portion of the multilayer reflective film, the fiducial marks serving as references for a defective location indicated by defect information with respect to the surface of the substrate with the multilayer reflective film.
    Type: Grant
    Filed: March 21, 2013
    Date of Patent: January 5, 2016
    Assignee: HOYA CORPORATION
    Inventors: Tsutomu Shoki, Kazuhiro Hamamoto
  • Patent number: 9223200
    Abstract: To provide a pellicle for lithography having a pellicle membrane excellent in light resistance against light with a wavelength of at most 250 nm, particularly at most 200 nm, a pellicle-mounted photomask using it, and an exposure treatment method. A pellicle for lithography having a multilayer pellicle membrane including a membrane made of a fluoropolymer (A) which contains, as the main component, repeating units obtained by cyclopolymerization of a pertluorodiene having one etheric oxygen atom, and a membrane made of a fluoropolymer (B) which has fluorinated alicyclic ring structures each containing, in the ring structure, two or three etheric oxygen atoms not being adjacent to one another, wherein the total thickness of the membrane made of the fluoropolymer (B) is at most 40% of the total thickness of the membrane made of the fluoropolymer (A).
    Type: Grant
    Filed: January 29, 2014
    Date of Patent: December 29, 2015
    Assignee: Asahi Glass Company, Limited
    Inventor: Yoko Takebe
  • Patent number: 9217916
    Abstract: A lithographic system includes a monitored lithographic projection apparatus arranged to project a patterned beam onto a substrate. A scatterometer measures a plurality of parameters of the pattern transferred to the substrate including at least one CD-profile parameter and at least one further parameter of the pattern transferred to the substrate which is indicative of a machine setting of the monitored lithographic projection apparatus. A matching system includes a database storing information representative of reference CD values and reference values for the further feature. A comparison arrangement compares the measured values with the corresponding stored values, a lithographic parameter calculation means calculating a corrected set of machine settings for the monitored lithographic apparatus dependent on the differences between the measured and reference values.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: December 22, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Paul Christiaan Hinnen, Marcus Adrianus Van De Kerkhof, Reiner Maria Jungblut, Koenraad Remi André Maria Schreel
  • Patent number: 9217923
    Abstract: The present disclosure is directed to various masks for use during EUV photolithography processes. In one example, an EUV mask is disclosed that includes, among other things, a substrate, a multilayer stack comprised of a plurality of multilayer pairs of ruthenium and silicon formed above the substrate, wherein the mask is adapted to, when irradiated with EUV light, have an effective reflective plane that is positioned 32 nm or less below an uppermost surface of the multilayer stack and a capping layer positioned above the uppermost surface of the multilayer stack.
    Type: Grant
    Filed: May 13, 2015
    Date of Patent: December 22, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Mandeep Singh
  • Patent number: 9213232
    Abstract: A reflective mask is described. The mask includes a low thermal expansion material (LTEM) substrate, a conductive layer deposited on a first surface of the LTEM substrate, a stack of reflective multilayers (ML) deposited on a second surface of the LTEM substrate, a capping layer deposited on the stack of reflective ML, a first absorption layer deposited on the first capping layer, a main pattern, and a border ditch. The border ditch reaches to the capping layer, a second absorption layer deposited inside the border ditch, and where the second absorption layer contacts the capping layer. In some instances, the border ditch crosses the capping layer and partially enters the reflective multilayer.
    Type: Grant
    Filed: November 3, 2014
    Date of Patent: December 15, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pei-Cheng Hsu, Chih-Tsung Shih, Chia-Jen Chen, Tsiao-Chen Wu, Shinn-Sheng Yu, Hsin-Chang Lee, Anthony Yen
  • Patent number: 9213241
    Abstract: A method for making nanostructure is provided. The method includes following steps. A conductive layer including a graphene film is applied on an insulating substrate. A resist layer is placed on the conductive layer. A number of openings are formed by patterning the resist layer via electron beam lithography. A part of the conductive layer is exposed to form a first exposed portion through the plurality of openings. The first exposed portion of the conductive layer is removed to expose a part of the insulting substrate to form a second exposed portion. A preform layer is introduced on the second exposed portion of the insulating substrate. Remaining resist layer and remaining conductive layer are eliminated. A number of nanostructures are formed.
    Type: Grant
    Filed: April 2, 2014
    Date of Patent: December 15, 2015
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Jun-Ku Liu, Meng-Xin Ren, Li-Hui Zhang, Mo Chen, Qun-Qing Li, Shou-Shan Fan
  • Patent number: 9213240
    Abstract: An electron beam exposure method includes the steps of: preparing an exposure mask having a plurality of opening patterns formed by dividing a drawing object pattern into exposable regions; and drawing the drawing object pattern by performing exposure with an electron beam passing through the opening patterns of the exposure mask. Each end portion serving as a joint in each opening pattern of the exposure mask is provided with a joining portion tapered in a width of the opening pattern. The exposure is performed in such a way that portions drawn through adjacent joining portions overlap each other.
    Type: Grant
    Filed: February 26, 2015
    Date of Patent: December 15, 2015
    Assignee: Advantest Corp.
    Inventors: Shinichi Hamaguchi, Masaki Kurokawa, Masahiro Takizawa
  • Patent number: 9207539
    Abstract: The present application discloses methods, systems and devices for using charged particle beam tools to pattern and inspect a substrate. The inventors have discovered that it is highly advantageous to use write and inspection tools that share the same or substantially the same stage and the same or substantially the same designs for respective arrays of multiple charged particle beam columns, and that access the same design layout database to target and pattern or inspect features. By using design-matched charged particle beam tools, correlation of defectivity is preserved between inspection imaging and the design layout database. As a result, image-based defect identification and maskless design correction, of random and systematic errors, can be performed directly in the design layout database, enabling a fast yield ramp.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: December 8, 2015
    Assignee: Multibeam Corporation
    Inventors: David K. Lam, Kevin M. Monahan, Theodore A. Prescop, Cong Tran
  • Patent number: 9195139
    Abstract: Methods of and apparatus for performing direct-write lithography in a two-color photoresist layer are disclosed. The method includes exposing the two-color photoresist layer with transducer and inhibition images that respectively define bright spots and dark spots. The transducer image generates excited-state photo-molecules while the inhibition image converts the exited-state photo-molecules to an unexcited state that is not susceptible to conversion to an irreversible exposed state. The dark spots and bright spots are aligned, with the dark spots being smaller than the bright spots so that a portion of the excited-state photo-molecules adjacent the periphery of the bright spots absorb the inhibition radiation and transition to the unexcited state while a portion of the excited photo-molecules at the center of bright spots are not exposed to the inhibition light and transition to an irreversible exposed state. This forms in the two-color photoresist layer a pattern of sub-resolution photoresist pixels.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: November 24, 2015
    Assignee: Periodic Structures, Inc.
    Inventors: David A. Markle, John S. Petersen
  • Patent number: 9195131
    Abstract: Provided is a mask blank glass substrate that has high surface smoothness, that is formed with a fiducial mark capable of improving the detection accuracy of a defect position or the like, and that enables reuse or recycling of a glass substrate included therein. An underlayer is formed on a main surface, on the side where a transfer pattern is to be formed, of a glass substrate for a mask blank. The underlayer serves to reduce surface roughness of the main surface of the glass substrate or to reduce defects of the main surface of the glass substrate. A surface of the underlayer is a precision-polished surface. A fiducial mark which provides a reference for a defect position in defect information is formed on the underlayer.
    Type: Grant
    Filed: September 28, 2012
    Date of Patent: November 24, 2015
    Assignee: HOYA CORPORATION
    Inventors: Toshihiko Orihara, Akihiro Kawahara, Tsutomu Shoki
  • Patent number: 9184027
    Abstract: The present application discloses methods, systems and devices for using charged particle beam tools to pattern and inspect a substrate. The inventors have discovered that it is highly advantageous to use write and inspection tools that share the same or substantially the same stage and the same or substantially the same designs for respective arrays of multiple charged particle beam columns, and that access the same design layout database to target and pattern or inspect features. By using design-matched charged particle beam tools, correlation of defectivity is preserved between inspection imaging and the design layout database. As a result, image-based defect identification and maskless design correction, of random and systematic errors, can be performed directly in the design layout database, enabling a fast yield ramp.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: November 10, 2015
    Assignee: Multibeam Corporation
    Inventors: David K. Lam, Kevin M. Monahan, Theodore A. Prescop, Cong Tran
  • Patent number: 9176375
    Abstract: Methods of reducing a registration error of a photomask are provided. A method of reducing a registration error of a photomask may include identifying the registration error with respect to a pattern element in a pattern region of the photomask. Moreover, the method may include reducing a thickness of a portion of a non-pattern region of the photomask by irradiating an energy beam onto a location of the non-pattern region of the photomask that is spaced apart from the pattern element, to generate stress at the pattern element. Related photomasks and methods of manufacturing an integrated circuit are also provided.
    Type: Grant
    Filed: February 10, 2014
    Date of Patent: November 3, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-hyun Kim, Seong-sue Kim, Dong-gun Lee, Chalykh Roman, Mun-ja Kim
  • Patent number: 9176389
    Abstract: The present disclosure provides an embodiment of a method, for a lithography process for reducing a critical dimension (CD) by a factor n wherein n<1. The method includes providing a pattern generator having a first pixel size S1 to generate an alternating data grid having a second pixel size S2 that is <S1, wherein the pattern generator includes multiple grid segments configured to offset from each other in a first direction; and scanning the pattern generator in a second direction perpendicular to the first direction during the lithography process such that each subsequent segment of the grid segments is controlled to have a time delay relative to a preceding segment of the grid segments.
    Type: Grant
    Filed: August 28, 2014
    Date of Patent: November 3, 2015
    Assignee: Taiwan Semiconductor Manfacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Pei-Yi Liu, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 9170489
    Abstract: A pattern-forming method includes in this order: step (1) of forming a film with an electron beam-sensitive or extreme ultraviolet radiation-sensitive resin composition that contains (A) a resin having an acid-decomposable repeating unit and capable of decreasing a solubility of the resin (A) in a developer containing an organic solvent by an action of an acid, (B) a compound capable of generating an acid upon irradiation with an electron beam or extreme ultraviolet radiation and (C) a solvent; step (2) of exposing the film with an electron beam or extreme ultraviolet radiation; and step (4) of forming a negative pattern by development of the film with a developer containing an organic solvent after the exposing of the film, wherein a content of the compound (B) is 21% by mass to 70% by mass on the basis of all solids content of the composition.
    Type: Grant
    Filed: March 13, 2014
    Date of Patent: October 27, 2015
    Assignee: FUJIFILM Corporation
    Inventors: Hiroo Takizawa, Hideaki Tsubaki, Shuji Hirano
  • Patent number: 9170483
    Abstract: There is provided a photomask capable of improving alignment accuracy with respective photomasks disposed on the front and rear faces of a substrate. A photomask has a drawing pattern for exposure formed on one face opposing a substrate, a first alignment mark for alignment with a substrate side mark formed on the substrate, the first alignment mark being provided in a region of the one face, the region opposing the substrate when the substrate is retained and the drawing pattern is not formed in the region, and a second alignment mark for alignment with a third alignment mark provided on another photomask, the second alignment mark being provided in a region which does not oppose the substrate when the substrate is retained.
    Type: Grant
    Filed: August 30, 2013
    Date of Patent: October 27, 2015
    Assignee: NIPPON MEKTRON, LTD.
    Inventors: Shoji Takano, Fumihiko Matsuda, Yoshihiko Narisawa
  • Patent number: 9164373
    Abstract: The problem of mura in large area photomasks is solved or at least reduced by setting up a writing system to write a pattern with high accuracy and with the optical axes essentially parallel to the movement axes of the stage, then writing photomasks in two passes with the substrate rotated to different angles on the stage. The angle between the orientation of the first and second pass is larger than about 10 degrees, larger than about 20 degrees or larger than about 35 degrees and it can be approximately 10 degrees, approximately 50 degrees, approximately 60 degrees or approximately 90 degrees. The substrate is physically rotated on the stage and aligned with high accuracy after the rotation and the data driving the first and second exposure passes are derived from the first input data specification but processed according to the known oblique angles, so that the second pass is accurately overlaid on the first pass.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: October 20, 2015
    Assignee: Micronic Mydata AB
    Inventor: Torbjörn Sandström
  • Patent number: 9164389
    Abstract: A patterning method may employ a particle beam, such as an electron beam (E-beam) and an exposure system that may include preparing an exposure layout defining a spatial distribution of an E-beam, performing an E-beam exposure process to a mask layer, based on the exposure layout, performing a developing process to the mask layer to form mask patterns including a first pattern. The first pattern may be a single solid pattern, and the exposure layout may include a first data associated with a plurality of E-beam conditions defined for a first region corresponding to the first pattern.
    Type: Grant
    Filed: March 5, 2014
    Date of Patent: October 20, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yongseok Jung, SangHee Lee
  • Patent number: 9164372
    Abstract: A method and system for fracturing or mask data preparation or proximity effect correction is disclosed in which a series of charged particle beam shots is determined, where the series of shots is capable of forming a continuous non-manhattan track on a surface, such that the non-manhattan track has a line width roughness (LWR) which nearly equals a target LWR. A method and system for fracturing or mask data preparation or proximity effect correction is also disclosed in which at least two series of shots are determined, where each series of shots is capable of forming a continuous non-manhattan track on a surface, and where the space between tracks has space width roughness (SWR) which nearly equals a target SWR.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: October 20, 2015
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Ingo Bork, Etienne Jacques
  • Patent number: 9164392
    Abstract: An aqueous solution containing 0.1-20 wt % of a benzyltrialkylammonium hydroxide is a useful developer for photosensitive resist materials. When an exposed resist film is developed in the developer, any swell of the resist film during development is suppressed. A resist pattern with minimal edge roughness can be formed while preventing pattern collapse or bridge defect formation.
    Type: Grant
    Filed: February 28, 2014
    Date of Patent: October 20, 2015
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Patent number: 9158193
    Abstract: A mask is disclosed. The mask includes a light-blocking region and a slit-like light-transmitting region. The slit includes two edges that are disposed oppositely to each other, and each of the edges is made up of a plurality of arcs.
    Type: Grant
    Filed: April 12, 2013
    Date of Patent: October 13, 2015
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventor: Wusheng Li