Patents Examined by George R Fourson, III
  • Patent number: 10559690
    Abstract: A shallow trench isolation layer is formed on a structure comprising semiconductor fins. Portions of the fins are recessed to a level below the shallow trench isolation layer. Epitaxial stressor regions are then formed on the recessed fin areas. A bottom portion of the epitaxial stressor regions are contained by the shallow trench isolation layer, which delays formation of the diamond shape as the epitaxial region is grown. Once the epitaxial stressor regions exceed the level of the shallow trench isolation layer, the diamond shape starts to form. The result of delaying the start of the diamond growth pattern is that the epitaxial regions are narrower for a given fin height. This allows for taller fins, which provide more current handling capacity, while the narrower epitaxial stressor regions enable a smaller fin pitch, allowing for increased circuit density.
    Type: Grant
    Filed: August 9, 2017
    Date of Patent: February 11, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Ali Khakifirooz, Henry K. Utomo, Reinaldo Ariel Vega
  • Patent number: 10533127
    Abstract: A composition including a plurality of metal oxide particles including a first stabilizer and a second stabilizer on a surface thereof; a plurality of quantum dots; a binder polymer including a carboxylic acid group; a polymerizable monomer including a carbon-carbon double bond; an initiator; optionally a multi-thiol compound comprising at least two thiol groups at one or more terminal ends thereof; and a solvent, wherein the first stabilizer and the second stabilizer are as provided herein.
    Type: Grant
    Filed: August 17, 2018
    Date of Patent: January 14, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Shang Hyeun Park, Shin Ae Jun
  • Patent number: 10529637
    Abstract: An integrated circuit package and a method of forming the same are provided. A method includes stacking a plurality of integrated circuit dies on a wafer to form a die stack. A bonding process is performed on the die stack. The bonding process mechanically and electrically connects adjacent integrated circuit dies of the die stack to each other. A dam structure is formed over the wafer. The dam structure surrounds the die stack. A first encapsulant is formed over the wafer and between the die stack and the dam structure. The first encapsulant fills gaps between the adjacent integrated circuit dies of the die stack. A second encapsulant is formed over the wafer. The second encapsulant surrounds the die stack, the first encapsulant and the dam structure.
    Type: Grant
    Filed: October 31, 2018
    Date of Patent: January 7, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chih-Wei Wu, Ying-Ching Shih, Szu-Wei Lu
  • Patent number: 10529860
    Abstract: The present disclosure provides one embodiment of a semiconductor structure. The semiconductor structure includes a first active region and a second fin active region extruded from a semiconductor substrate; an isolation featured formed in the semiconductor substrate and being interposed between the first and second fin active regions; a dielectric gate disposed on the isolation feature; a first gate stack disposed on the first fin active region and a second gate stack disposed on the second fin active region; a first source/drain feature formed in the first fin active region and interposed between the first gate stack and the dielectric gate; a second source/drain feature formed in the second fin active region and interposed between the second gate stack and the dielectric gate; a contact feature formed in a first inter-level dielectric material layer and landing on the first and second source/drain features and extending over the dielectric gate.
    Type: Grant
    Filed: May 31, 2018
    Date of Patent: January 7, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Fang Chen, Jhon Jhy Liaw
  • Patent number: 10529949
    Abstract: A lighting apparatus using an organic light-emitting diode and a method of fabricating the same are characterized in that an organic emissive material and a conductive film used as a cathode are deposited on the entire surface of a substrate, and then an organic emissive layer in a lighting area and contact areas becomes separated (disconnected or cut) by laser ablation, simultaneously with the formation of a contact hole for contact with an anode. Next, cathode contact and encapsulation processes are performed using an adhesive containing conductive particles and a metal film. This simplifies the fabrication process of the lighting apparatus without using an open mask (metal mask), which is a complicated tool, thus making it useful especially in roll-to-roll manufacturing.
    Type: Grant
    Filed: August 31, 2018
    Date of Patent: January 7, 2020
    Assignee: LG Display Co., Ltd.
    Inventors: Taejoon Song, Namkook Kim, Shinbok Lee, Soonsung Yoo, Hwankeon Lee
  • Patent number: 10529798
    Abstract: A method is presented for tuning work functions of transistors. The method includes forming a work function stack over a semiconductor substrate, depositing a germanium oxide layer and a barrier layer over the work function stack, and annealing the germanium oxide layer to desorb oxygen therefrom to trigger oxidation of at least one conducting layer of the work function stack. The work function stack includes three layers, that is, a first layer being a TiN layer, a second layer being a titanium aluminum carbon (TiAlC) layer, and a third layer being a second TiN layer.
    Type: Grant
    Filed: August 30, 2018
    Date of Patent: January 7, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Takashi Ando, Pouya Hashemi, Choonghyun Lee
  • Patent number: 10522488
    Abstract: A method of forming a semiconductor device includes forming a plurality of metal pads over a semiconductor substrate of a wafer, forming a passivation layer covering the plurality of metal pads, patterning the passivation layer to reveal the plurality of metal pads, forming a first polymer layer over the passivation layer, forming a plurality of redistribution lines extending into the first polymer layer and the passivation layer to connect to the plurality of metal pads, forming a second polymer layer over the first polymer layer, and patterning the second polymer layer to reveal the plurality of redistribution lines. The first polymer layer is further revealed through openings in remaining portions of the second polymer layer.
    Type: Grant
    Filed: October 31, 2018
    Date of Patent: December 31, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Ming Chen, Ching-Tien Su
  • Patent number: 10522594
    Abstract: A method of forming a semiconductor structure includes forming a plurality of vertical field-effect transistors (VFETs) disposed on a substrate and forming a plurality of resistive elements disposed over top surfaces of the VFETs. Each pair of a given one of the plurality of VFETs and a corresponding resistive element disposed over the given VFET provides a resistive random access memory (ReRAM) cell. The VFETs are arranged in two or more columns and two or more rows, wherein each column of VFETs provides a bitline of the ReRAM cells sharing a bottom source/drain region and wherein each row of VFETs provides a wordline of the ReRAM cells sharing a gate. Top source/drain regions of the VFETs provide bottom contacts for the resistive elements disposed over the VFETs.
    Type: Grant
    Filed: February 5, 2019
    Date of Patent: December 31, 2019
    Assignee: International Business Machines Corporation
    Inventors: Peng Xu, Kangguo Cheng, Juntao Li, ChoongHyun Lee
  • Patent number: 10522711
    Abstract: A manufacturing method of a quantum dot, a light-emitting material, a light-emitting device, and a display apparatus are provided. The manufacturing method of a quantum dot includes the following steps. A first solution including at least one element selected from the group consisting of an element in Group XII and an element in Group XIII is provided. A second solution including at least one element selected from the group consisting of an element in Group XV and an element in Group XVI is provided. The first solution and the second solution are mixed. A thermal treatment is performed on the mixed solution. A range of the heating rate of the thermal treatment is 2° C./min to 10° C./min.
    Type: Grant
    Filed: August 3, 2018
    Date of Patent: December 31, 2019
    Assignee: Chi Mei Corporation
    Inventor: Wei-Ta Chen
  • Patent number: 10522584
    Abstract: Provided are a display panel, a manufacturing method thereof and a display device. The display panel includes: a first substrate and a second substrate disposed opposite to each other, and a plurality of light-emitting units and a plurality of fingerprint identification units, disposed on one side of the first substrate facing to the second substrate. Each of the plurality of light-emitting units includes a first N-type semiconductor layer and a first P-type semiconductor layer, each of the plurality of fingerprint identification units includes a second N-type semiconductor layer and a second P-type semiconductor layer. The first N-type semiconductor layer and the second N-type semiconductor layer are disposed in a same layer, and the first P-type semiconductor layer and the second P-type semiconductor layer are disposed in a same layer.
    Type: Grant
    Filed: October 31, 2018
    Date of Patent: December 31, 2019
    Assignee: Shanghai Tianma AM-OLED Co., Ltd.
    Inventors: Shuang Cheng, Jinghua Niu, Xiangcheng Wang, Qing Zhu, Honghu Ma, Jun Lin, Yinhe Liu
  • Patent number: 10522408
    Abstract: A FinFET device and a method of forming the same are provided. A method includes forming a fin over a substrate. An isolation region is formed adjacent the fin. A dummy gate structure is formed over the fin. The fin adjacent the dummy gate structure is recessed to form a first recess. The first recess has a U-shaped bottom surface. The U-shaped bottom surface is below a top surface of the isolation region. The first recess is reshaped to form a reshaped first recess. The reshaped first recess has a V-shaped bottom surface. At least a portion of the V-shaped bottom surface comprises one or more steps. A source/drain region is epitaxially grown in the reshaped first recess.
    Type: Grant
    Filed: December 21, 2018
    Date of Patent: December 31, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Teng Liao, Chih-Shan Chen, Yi-Wei Chiu, Ying Ting Hsia, Tzu-Chan Weng
  • Patent number: 10510883
    Abstract: The present disclosure provides semiconductor devices with asymmetric source/drain structures. In one example, a semiconductor device includes a first group of source/drain structures on a first group of fin structures on a substrate, a second group of source/drain structures on a second group of fin structures on the substrate, and a first gate structure and a second gate structure over the first and the second group of fin structures, respectively, the first and second groups of source/drain structures being proximate the first and second gate structures, respectively, wherein the first group of source/drain structures on the first group of fin structures has a first source/drain structure having a first vertical height different from a second vertical height of a second source/drain structure of the second group of source/drain structures on the second group of fin structures.
    Type: Grant
    Filed: January 25, 2018
    Date of Patent: December 17, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Lien Huang, Peng Wang
  • Patent number: 10510788
    Abstract: A BSI image sensor includes a substrate including a front side and a back side opposite to the front side, a plurality of pixel sensors arranged in an array, an isolation grid disposed in the substrate and separating the plurality of pixel sensors from each other, and a reflective grid disposed over the isolation grid on the back side of the substrate. A depth of the reflective grid is less than a depth of the isolation grid.
    Type: Grant
    Filed: March 22, 2018
    Date of Patent: December 17, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Keng-Yu Chou, Wei-Chieh Chiang, Chen-Jong Wang, Chien-Hsien Tseng, Kazuaki Hashimoto
  • Patent number: 10510600
    Abstract: A butted contact structure is provided. In one embodiment, a structure includes a first transistor on a substrate, the first transistor comprising a first source or drain region, a first gate, and a first gate spacer being disposed between the first gate and the first source or drain region. The structure includes a second transistor on the substrate, the second transistor comprising a second source or drain region, a second gate, and a second gate spacer being disposed between the second gate and the second source or drain region. The structure includes a butted contact disposed above and extending from the first source or drain region to at least one of the first or second gate, a portion of the first gate spacer extending a distance into the butted contact to separate a first bottom surface of the butted contact from a second bottom surface of the butted contact.
    Type: Grant
    Filed: July 11, 2018
    Date of Patent: December 17, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Leo Hsu, Sheng-Liang Pan
  • Patent number: 10510588
    Abstract: An interconnection structure includes a first dielectric layer, a bottom conductive feature present in the first dielectric layer, a second dielectric layer present on the first dielectric layer, an aluminum-containing etch stop layer present between the first dielectric layer and the second dielectric layer, an upper conductive via present at least in the second dielectric layer and electrically connected to the bottom conductive feature, and at least one aluminum-containing fragment present at least at a bottom corner of the upper conductive via.
    Type: Grant
    Filed: December 4, 2017
    Date of Patent: December 17, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Chung-Wen Wu, Shiu-Ko Jangjian, Chien-Wen Chiu, Chien-Chung Chen
  • Patent number: 10510895
    Abstract: A device includes a semiconductor substrate, a gate stack, and an interlayer dielectric. The gate stack is over the semiconductor substrate. The interlayer dielectric is over the semiconductor substrate and surrounds the gate stack. The interlayer dielectric includes a liner layer and a filling layer. The liner layer lines the gate stack. The filling layer is over the liner layer and includes a metal-contained ternary dielectric material.
    Type: Grant
    Filed: May 13, 2019
    Date of Patent: December 17, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu-Yun Peng, Keng-Chu Lin
  • Patent number: 10504794
    Abstract: A method for manufacturing a vertical transistor device includes respectively forming a first and second plurality of fins in first and second device regions on a substrate. A plurality of bottom source/drain regions are formed adjacent lower portions of each of the fins, and a sacrificial layer is formed in the first device region on a first bottom source/drain region of the plurality of bottom source/drain regions. In the method, gate structures are formed on the bottom source/drain regions and sacrificial layer, and portions of the gate structures are removed to expose the sacrificial layer in the first device region and a second bottom source/drain region of the plurality of bottom source/drain regions in the second device region. The method further includes depositing a germanium oxide layer on the exposed sacrificial layer and second bottom source/drain region, and converting the germanium oxide layer to a plurality of silicide/germanide layers.
    Type: Grant
    Filed: June 25, 2018
    Date of Patent: December 10, 2019
    Assignee: International Business Machines Corporation
    Inventors: ChoongHyun Lee, Kangguo Cheng, Juntao Li, Peng Xu
  • Patent number: 10504996
    Abstract: A silicon carbide semiconductor device includes a silicon carbide substrate and a gate insulating film. The silicon carbide substrate has a first main surface and a second main surface opposite to the first main surface. The gate insulating film is provided on the first main surface. The silicon carbide substrate includes a first body region having p type, a second body region having p type, and a JFET region provided between the first body region and the second body region and having n type. The JFET region has both a first impurity capable of providing the p type and a second impurity capable of providing the n type. A concentration of the second impurity is higher than a concentration of the first impurity. The silicon carbide semiconductor device capable of suppressing dielectric breakdown of the gate insulating film is provided.
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: December 10, 2019
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Kosuke Uchida, Toru Hiyoshi, Keiji Wada
  • Patent number: 10504855
    Abstract: A semiconductor package includes a support member having a first surface and a second surface, and having a through-hole, a first metal layer for shielding disposed on an internal sidewall of the through-hole and the first surface and the second surface of the support member, a connection member disposed on the first surface of the support member, and having a redistribution layer, a semiconductor chip disposed in the through-hole, an encapsulant sealing the semiconductor chip located in the through-hole, and covering the second surface of the support member, a second metal layer for shielding disposed on the encapsulant, and connected to the first metal layer for shielding by a connecting trench via passing through the encapsulant, and a reinforcing via disposed in a region, overlapping the trench via for connection, of the support member, and connected to the first metal layer for shielding.
    Type: Grant
    Filed: October 25, 2018
    Date of Patent: December 10, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyung Moon Jung, Chul Kyu Kim, Seok Hwan Kim, Kyung Ho Lee, Seong Hwan Park
  • Patent number: 10505025
    Abstract: A device includes a first semiconductor layer, a second semiconductor layer, and an intrinsic semiconductor layer. The second semiconductor layer is over the first semiconductor layer. The first semiconductor layer and the second semiconductor layer are of opposite conductivity types. The second semiconductor layer includes a first sidewall and a second sidewall substantially perpendicular to and larger than the first sidewall. The intrinsic semiconductor layer is in contact with the second sidewall of the second semiconductor layer and the first semiconductor layer.
    Type: Grant
    Filed: August 2, 2018
    Date of Patent: December 10, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Gerben Doornbos, Peter Ramvall, Matthias Passlack, Carlos H. Diaz