Patents Examined by Jeffrie R. Lund
  • Patent number: 10332729
    Abstract: A compression member for use in a showerhead electrode assembly of a capacitively coupled plasma chamber. The member applies a compression force to a portion of a film heater adjacent a power supply boot on an upper surface of a thermal control plate and is located between the thermal control plate and a temperature-controlled top plate. The member is composed of an electrically insulating elastomeric material which can work over a large range of compressions and temperatures.
    Type: Grant
    Filed: February 13, 2018
    Date of Patent: June 25, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Darrell Ehrlich, Daniel Arthur Brown, Ian Kenworthy
  • Patent number: 10312058
    Abstract: Embodiments of a method of depositing a thin film on a substrate is provided that includes placing a substrate on a substrate support that is mounted in a processing region of a processing chamber, flowing a process fluid through a plurality of gas passages in a diffuser plate toward the substrate supported on the substrate support, wherein the diffuser plate has an upstream side and a downstream side and the downstream side has a substantially concave curvature, and each of the gas passages are formed between the upstream side and the downstream side, and creating a plasma between the downstream side of the diffuser plate and the substrate support.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: June 4, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Soo Young Choi, John M. White, Qunhua Wang, Li Hou, Ki Woon Kim, Shinichi Kurita, Tae Kyung Won, Suhail Anwar, Beom Soo Park, Robin L. Tiner
  • Patent number: 10290494
    Abstract: A substrate processing apparatus including: a reaction tube configured to process a plurality of substrates; a heater configured to heat an inside of the reaction tube; a holder configured to arrange and hold the plurality of substrates within the reaction tube; a hydrogen-containing gas supply system including a first nozzle disposed in an area which horizontally surrounds a substrate arrangement area where the plurality of substrates are arranged, and configured to supply a hydrogen-containing gas from a plurality of locations of the area into the reaction tube; an oxygen-containing gas supply system including a second nozzle disposed in the area which horizontally surrounds the substrate arrangement area, and configured to supply an oxygen-containing gas from a plurality of locations of the area into the reaction tube; a pressure controller configured to control a pressure inside the reaction tube to be lower than an atmospheric pressure; and a controller configured to control the heater, the hydrogen-cont
    Type: Grant
    Filed: July 13, 2016
    Date of Patent: May 14, 2019
    Assignee: Kokusai Electric Corporation
    Inventors: Masanao Fukuda, Takafumi Sasaki, Kazuhiro Yuasa
  • Patent number: 10287684
    Abstract: A substrate processing apparatus includes a process chamber including a process space configured to accommodate a substrate; a substrate support part including a substrate mounting stand; a first gas supply part; a second gas supply part; a gas introduction port configured to introduce a gas from the first gas supply part or the second gas supply part; a gas rectifying part including an opening through which the gas introduced from the gas introduction port passes; a gas flow passage communicated with the opening and formed between the gas rectifying part and an outer periphery of the substrate mounting stand in a circumferential direction; a gas pressure equalizing part including at least two gas pressure equalizing spaces; a purge gas supply part configured to supply different amount of a purge gas to each of the at least two gas pressure equalizing spaces; and a conductance adjustment part.
    Type: Grant
    Filed: July 2, 2015
    Date of Patent: May 14, 2019
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventor: Hidehiro Yanai
  • Patent number: 10273578
    Abstract: A heating module for use in a substrate processing chamber. The heating module having a housing with a heat source therein. The heating module can be part of a gas distribution assembly positioned above a susceptor assembly to heat the top surface of the susceptor and wafers directly. The heating module can have constant or variable power output. Processing chambers and methods of processing a wafer using the heating module are described.
    Type: Grant
    Filed: October 3, 2014
    Date of Patent: April 30, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Joseph Yudovsky, Robert T. Trujillo, Kevin Griffin, Garry K. Kwong, Kallol Bera, Li-Qun Xia, Mandyam Sriram
  • Patent number: 10269615
    Abstract: A device for processing wafer-shaped articles comprises a closed process chamber. The closed process chamber comprises a housing providing a gas-tight enclosure, a rotary chuck located within the closed process chamber and adapted to hold a wafer shaped article thereon, and an interior cover disposed within said closed process chamber. The interior cover is movable between a first position in which the rotary chuck communicates with an outer wall of the closed process chamber, and a second position in which the interior cover seals against an inner surface of the closed process chamber adjacent the rotary chuck to define a gas-tight inner process chamber.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: April 23, 2019
    Assignee: LAM RESEARCH AG
    Inventors: Ulrich Tschinderle, Andreas Gleissner, Thomas Wirnsberger, Rainer Obweger
  • Patent number: 10266945
    Abstract: A gas mixing device includes: a cylindrical portion including an upper surface which is closed; a gas outflow passage formed in a central portion of a bottom surface of the cylindrical portion, and extends downward; a plurality of gas stream guide walls disposed to be spaced apart from each other in a circumferential direction along an edge of an opening formed by the gas outflow passage in the bottom surface, and installed to be rotationally symmetrical to a center of the cylindrical portion, the gas stream guide walls protruding toward the upper surface; and a gas inlet part installed between the gas stream guide walls and an inner peripheral surface of the cylindrical portion, and into which a gas to be mixed flows.
    Type: Grant
    Filed: June 14, 2017
    Date of Patent: April 23, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Jun Yamashita
  • Patent number: 10260143
    Abstract: A directed vapor deposition (DVD) method and system for applying at least one bond coating on at least one substrate for thermal barrier coating systems. To overcome the limitations incurred by conventional methods, the DVD system uses an electron beam directed vapor deposition (DVD) technique to evaporate and deposit compositionally and morphologically controlled bond coats at high rate. The present DVD system uses the combination of an electron beam and a combined inert gas/reactive gas carrier jet of controlled composition to create engineering films. In this system, the vaporized material can be entrained in the carrier gas jet and deposited onto the substrate at a high rate and with high materials utilization efficiency. The velocity and flux of the gas atoms entering the chamber, the nozzle parameters, and the operating chamber pressure can all be significantly varied, facilitating wide processing condition variation and allowing for improved control over the properties of the deposited layer.
    Type: Grant
    Filed: February 10, 2012
    Date of Patent: April 16, 2019
    Assignee: University of Virginia Patent Foundation
    Inventors: Derek D. Hass, Haydn N. G. Wadley, Kumar P. Dharmasena, Yosef Marciano
  • Patent number: 10262842
    Abstract: There is provided a method of analyzing data obtained from an etching apparatus for micromachining a wafer using plasma. This method includes the following steps: acquiring the plasma light-emission data indicating light-emission intensities at a plurality of different wavelengths and times, the plasma light-emission data being measured under a plurality of different etching processing conditions, and being obtained at the time of the etching processing, evaluating the relationship between changes in the etching processing conditions and changes in the light-emission intensities at the plurality of different wavelengths and times with respect to the wavelengths and times of the plasma light-emission data, and identifying the wavelength and the time of the plasma light-emission data based on the evaluation result, the wavelength and the time being to be used for the adjustment of the etching processing condition.
    Type: Grant
    Filed: June 13, 2014
    Date of Patent: April 16, 2019
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Ryoji Asakura, Kenji Tamaki, Akira Kagoshima, Daisuke Shiraishi
  • Patent number: 10262837
    Abstract: Embodiments of a gas diffuser plate for distributing gas in a processing chamber are provided. The gas distribution plate includes a diffuser plate having an upstream side and a downstream side, and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate. The gas passages include hollow cathode cavities at the downstream side to enhance plasma ionization. The depths, the diameters, the surface area and density of hollow cathode cavities of the gas passages that extend to the downstream end can be gradually increased from the center to the edge of the diffuser plate to improve the film thickness and property uniformity across the substrate. The increasing diameters, depths and surface areas from the center to the edge of the diffuser plate can be created by bending the diffuser plate toward downstream side, followed by machining out the convex downstream side. Bending the diffuser plate can be accomplished by a thermal process or a vacuum process.
    Type: Grant
    Filed: November 4, 2015
    Date of Patent: April 16, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Soo Young Choi, John M. White, Qunhua Wang, Li Hou, Ki Woon Kim, Shinichi Kurita, Tae Kyung Won, Suhail Anwar, Beom Soo Park, Robin L. Tiner
  • Patent number: 10253412
    Abstract: A deposition apparatus for processing substrates includes a vacuum chamber including a processing zone in which a substrate may be processed. First and second gas sources are in fluid communication with the vacuum chamber. The first gas source is operable to supply a first gas into the vacuum chamber and the second gas source is operable to supply a second gas into the vacuum chamber. A showerhead assembly includes a face plate and back plate. The back plate includes a first gas inlet in fluid communication with the first gas source and a second gas inlet in fluid communication with the second gas source. The face plate includes a lower wall and an outer wall extending vertically upwardly from an outer periphery of the lower wall. The outer wall is sealed to an outer periphery of the back plate such that an inner plenum and an edge plenum are formed between the face plate and the back plate.
    Type: Grant
    Filed: May 22, 2015
    Date of Patent: April 9, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Timothy Scott Thomas, Karl Leeser
  • Patent number: 10249505
    Abstract: A method for treating an etching solution in order to circulate and reuse an etching solution used in etching treatment of silicon includes (1) selectively removing multivalent ions having a valence of two or more, or (2) removing multivalent ions having a valence of two or more, 20-50% of alkali metal ions having a valence of one relative to a total amount of the alkali metal ions, and hydroxide ions, through a membrane separation unit comprising a nanofiltration membrane. A permeated solution of the membrane separation unit is circulated to the etching bath.
    Type: Grant
    Filed: December 7, 2015
    Date of Patent: April 2, 2019
    Assignee: KURITA WATER INDUSTRIES LTD.
    Inventors: Hideyuki Komori, Nobuhiro Orita
  • Patent number: 10227695
    Abstract: Embodiments of the invention contemplate a shadow ring that provides increased or decreased and more uniform deposition on the edge of a wafer. By removing material from the top and/or bottom surfaces of the shadow ring, increased edge deposition and bevel coverage can be realized. In one embodiment, the material on the bottom surface is reduced by providing a recessed slot on the bottom surface. By increasing the amount of material of the shadow ring, the edge deposition and bevel coverage is reduced. Another approach to adjusting the deposition at the edge of the wafer includes increasing or decreasing the inner diameter of the shadow ring. The material forming the shadow ring may also be varied to change the amount of deposition at the edge of the wafer.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: March 12, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Dale R. Du Bois, Mohamad A. Ayoub, Robert Kim, Amit Bansal, Mark Fodor, Binh Nguyen, Siu F. Cheng, Hang Yu, Chiu Chan, Ganesh Balasubramanian, Deenesh Padhi, Juan Carlos Rocha
  • Patent number: 10229829
    Abstract: There is provided a method for manufacturing a semiconductor device, including: providing a substrate with an oxide film formed on a surface thereof; pre-processing a surface of the oxide film; and forming a nitride film containing carbon on the surface of the oxide film which has been pre-processed, by performing a cycle a predetermined number of times, the cycle including non-simultaneously performing: supplying a precursor gas to the substrate; supplying a carbon-containing gas to the substrate; and supplying a nitrogen-containing gas to the substrate, or by performing a cycle a predetermined number of times, the cycle including non-simultaneously performing: supplying a precursor gas to the substrate; and supplying a gas containing carbon and nitrogen to the substrate, or by performing a cycle a predetermined number of times, the cycle including non-simultaneously performing: supplying a precursor gas containing carbon to the substrate; and supplying a nitrogen-containing gas to the substrate.
    Type: Grant
    Filed: April 11, 2018
    Date of Patent: March 12, 2019
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Yoshinobu Nakamura, Kiyohiko Maeda, Yoshiro Hirose, Ryota Horiike, Yoshitomo Hashimoto
  • Patent number: 10224220
    Abstract: Uniformity of a plasma process on a surface of a substrate is to be improved. In a plasma processing apparatus that processes a substrate by generating plasma from a processing gas introduced in a processing container, a ratio between an introducing amount of the processing gas introduced to a center portion of the substrate received in the processing container and an introducing amount of the processing gas introduced to a peripheral portion of the substrate received in the processing container is changed during a plasma process. Accordingly, a variation in an etching rate or the like between the center portion and the peripheral portion of the substrate may be reduced. Therefore, uniformity of the plasma process on the surface of the substrate is improved.
    Type: Grant
    Filed: May 27, 2014
    Date of Patent: March 5, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toshihisa Ozu, Naoki Matsumoto, Takashi Tsukamoto, Kazuto Takai
  • Patent number: 10225919
    Abstract: This disclosure describes systems, methods, and apparatuses for generating an ionizing electromagnetic field via a remote plasma source such that the field controllably extends through a field projection portion where the field attenuates, to a plasma processing portion where the field is attenuated but still strong enough to sustain a plasma. The plasma has a low voltage and RF energy and can be used for a variety of semiconductor and thin film processing operations including chamber cleaning via radical generation, etching, and deposition.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: March 5, 2019
    Assignee: AES Global Holdings, PTE. LTD
    Inventors: Daniel J. Hoffman, Daniel Carter, Karen Peterson, Randy Grilley
  • Patent number: 10214816
    Abstract: An apparatus for depositing film stacks in-situ (i.e., without a vacuum break or air exposure) are described. In one example, a plasma-enhanced chemical vapor deposition apparatus configured to deposit a plurality of film layers on a substrate without exposing the substrate to a vacuum break between film deposition phases, is provided. The apparatus includes a process chamber, a plasma source and a controller configured to control the plasma source to generate reactant radicals using a particular reactant gas mixture during the particular deposition phase, and sustain the plasma during a transition from the particular reactant gas mixture supplied during the particular deposition phase to a different reactant gas mixture supplied during a different deposition phase.
    Type: Grant
    Filed: April 25, 2014
    Date of Patent: February 26, 2019
    Assignee: Novellus Systems, Inc.
    Inventors: Jason Dirk Haverkamp, Pramod Subramonium, Joseph L. Womack, Dong Niu, Keith Fox, John B. Alexy, Patrick G. Breiling, Jennifer L. Petraglia, Mandyam A. Sriram, George Andrew Antonelli, Bart J. van Schravendijk
  • Patent number: 10214815
    Abstract: Methods and apparatus relating to aluminum nitride baffles are provided herein. In some embodiments, a baffle for use in semiconductor process chambers may include a body comprising aluminum nitride and a metal oxide binding agent, wherein a ratio of aluminum nitride to metal oxide on a surface of the body is greater than or equal to the ratio within the body. In some embodiments, the body may have a center stem and an outer annulus coupled to and extending radially outwards from a lower portion of the center stem. In some embodiments, a method of fabricating a baffle may include sintering aluminum, nitrogen, and a metal oxide binding agent to form a body of the baffle, the body having excess metal oxide binding agent disposed on a surface thereof; and removing a bulk of the excess metal oxide binding agent from a surface of the body.
    Type: Grant
    Filed: November 20, 2015
    Date of Patent: February 26, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Muhammad M. Rasheed, Dmitry Lubomirsky
  • Patent number: 10211030
    Abstract: Embodiments of the present disclosure include a radial frequency plasma source having a split type inner coil assembly. In one embodiment, the split type inner coil assembly comprises two intertwining coils. In another embodiment, the split type inner coil assembly includes looped coils forming a dome.
    Type: Grant
    Filed: June 15, 2015
    Date of Patent: February 19, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Rongping Wang, Ruizhe Ren, Jon C. Farr, Chethan Mangalore, Peter Demonte, Parthiban Balakrishna
  • Patent number: 10203604
    Abstract: Embodiments described herein relate to methods and apparatus for performing immersion field guided post exposure bake processes. Embodiments of apparatus described herein include a chamber body defining a processing volume. A pedestal may be disposed within the processing volume and a first electrode may be coupled to the pedestal. A moveable stem may extend through the chamber body opposite the pedestal and a second electrode may be coupled to the moveable stem. In certain embodiments, a fluid containment ring may be coupled to the pedestal and a dielectric containment ring may be coupled to the second electrode.
    Type: Grant
    Filed: January 6, 2016
    Date of Patent: February 12, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Viachslav Babayan, Douglas A. Buchberger, Jr., Qiwei Liang, Ludovic Godet, Srinivas D. Nemani, Daniel J. Woodruff, Randy Harris, Robert B. Moore