Patents Examined by John P. Trimmings
  • Patent number: 7624317
    Abstract: A semiconductor memory device performs a parallel bit test on a plurality of memory blocks by writing test pattern data into the plurality of memory blocks, outputting two bits from each memory block in parallel and comparing the two bits output from each memory block with each other in a first test mode, and outputting two bits from respectively different memory blocks and comparing the two bits output from the respectively different memory blocks with each other in a second test mode.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: November 24, 2009
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Sang-Man Byun, Sang-Seok Kang
  • Patent number: 7620861
    Abstract: Embodiments of an apparatus and method for high-speed testing of a device under test are described herein, where the device under test is coupled to a tester via a limited passband communication channel. A plurality of test vector patterns is generated having characteristics such that when a given test vector pattern is transmitted electrically at a transmission rate via the communication channel, the test vector pattern has a frequency content that is less than the frequency content of a high frequency test vector pattern if the high frequency test vector pattern were to be transmitted electrically at the transmission rate via the communication channel, and such that the frequency content of each test vector pattern when transmitted electrically at the transmission rate via the communication channel falls within the passband associated with the communication channel.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: November 17, 2009
    Assignee: KingTiger Technology (Canada) Inc.
    Inventors: Bosco Chun Sang Lai, Sunny Lai-Ming Chang, Lawrence Wai Cheung Ho
  • Patent number: 7617431
    Abstract: The apparatus for analyzing a delay defect of the present invention obtains the RC of the maximal incidence among region codes (RCs) to which check circuits detecting errors caused with gradual increase in the frequency of an operational clock pulse fed to an integrated circuit belongs. The apparatus obtains information on latch in which an error is caused with the RC of the maximal incidence, with reference to a mapping table that describes the mapping relationship between an RC and a latch. The apparatus extracts a circuit portion in which an error can be captured with the region code of the maximal incidence by exhaustively tracing back circuit portions connected with each obtained latch, from the latch to the latch described in the mapping table. The apparatus gives delay defects to the input and the output pin of each of logic elements included in the extracted circuit portion, generates test patterns for detecting the given delay defects, and performs delay tests.
    Type: Grant
    Filed: February 22, 2007
    Date of Patent: November 10, 2009
    Assignee: Fujitsu Limited
    Inventor: Noriyuki Ito
  • Patent number: 7617426
    Abstract: A method for verifying whether a recording/reproducing apparatus properly produces disc management information and records the disc management information on a disc includes preparing a test disc; issuing reading commands to a recording/reproducing apparatus to be tested on which the test disc is loaded and verifying the disc in order to verify the reading operation; and issuing recording commands to the recording/reproducing apparatus to be tested on which the test disc is loaded and checking whether a temporary disc management area (TDMA) structure is properly updated on the disc in order to verify the modification operation.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: November 10, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-hee Hwang, Hyo-jin Sung, Sung-ryeul Rhyu, Jung-wan Ko
  • Patent number: 7617425
    Abstract: A method and a circuit of testing of a memory interface associated with an embedded memory in a semiconductor circuit involves writing to two memory locations in succession; reading the two memory locations in succession in the same order in which the two memory locations were written; capturing output data from the memory interface; and analyzing captured output data to determine whether said captured output data corresponds to expected data.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: November 10, 2009
    Assignee: LogicVision, Inc.
    Inventors: Benoit Nadeau-Dostie, Jean-François Côté
  • Patent number: 7613974
    Abstract: This invention relates to fault detection in electrical circuits. The invention provides a method and apparatus for testing an input circuit by generating a periodic test signal having a predetermined phase and a predetermined amplitude; summing the test signal and an input signal to provide a summed signal; processing the summed signal to provide an output signal; generating an extracted test signal from the output signal; comparing the extracted test signal with a reference signal representing said periodic test signal; generating an error signal in dependence upon the result of said comparing step. The invention also provides a method and apparatus for testing a plurality of adjacent input circuits.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: November 3, 2009
    Assignee: ICS Triplex Technology Limited
    Inventor: Thomas Bruce Meagher
  • Patent number: 7613971
    Abstract: A semiconductor integrated circuit includes an input side flip-flop; a combinational circuit having an input connected with the input side flip-flop; an output side flip-flop connected with an output of the combinational circuit; and a delay test circuit. The delay test circuit generates output clock pulses by removing an optional one from equal to or more than 3 continuing clock pulses of an input clock signal, and supplies the output clock pulse to the input side flip-flop and the output side flip-flop.
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: November 3, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Toshiharu Asaka
  • Patent number: 7607056
    Abstract: Disclosed herein is a semiconductor test apparatus for simultaneously testing a plurality of semiconductor devices. The semiconductor test apparatus includes a plurality of pattern generation boards, a DUT board, a backplane board, and a power supply unit.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: October 20, 2009
    Assignee: UniTest Inc.
    Inventors: Jong Koo Kang, Sun Whan Kim
  • Patent number: 7607057
    Abstract: An apparatus and method are disclosed for testing a hard macro that is embedded in a system on a chip (SOC) that is included in an integrated circuit chip. The SOC includes the hard macro. A logic design and operation of the hard macro are unknown. A test wrapper is embedded in the SOC. The test wrapper includes a scan chain. The test wrapper surrounds inputs and outputs of the hard macro. The test wrapper receives a known test data pattern in the scan chain that is included in the test wrapper. The hard macro receives from the test wrapper a set of non-test standard SOC inputs when the SOC is not in a test mode and receives the known test data pattern when the SOC is in the test mode. The hard macro generates a set of outputs in response to the inputs. The hard macro is tested utilizing the known test data pattern.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: October 20, 2009
    Assignee: LSI Corporation
    Inventors: Mark Allen Boike, Seshagiri Prasad Kalluri, Vijayanand J. Angarai, David Mark Brantley, Scott Avery Beeker
  • Patent number: 7603598
    Abstract: A semiconductor device for testing a semiconductor process applied to manufacturing the semiconductor device is disclosed. The semiconductor device includes at least a testing group. The testing group includes a first testing block and a second testing block. The first testing block includes: a first input node; a first output node; a plurality of first selecting nodes; a first reference device, coupled to the first input node and the first output node; and a first target device, coupled to the first selecting nodes and the first output node. The second testing block includes: a second input node; a second output node; a plurality of second selecting nodes; a second reference device, coupled to the second input node and the second output node; and a second target device, coupled to the second selecting nodes and the second output node.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: October 13, 2009
    Assignee: Faraday Technology Corp.
    Inventors: Chia-Nan Hong, Yi-Hua Chang, Chin-Yi Chang
  • Patent number: 7603595
    Abstract: A memory test circuit according to an embodiment of the invention executes a test on a memory in accordance with a pattern mode signal designating a sub-test pattern included in a test pattern and including a plurality of test actions for the memory, and stores the pattern mode signal as failure information in a failure information storage register. The circuit includes a storage determining circuit determining whether or not to store the failure information in a failure information storage register based on preset failure information storage method information.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: October 13, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Tomonori Sasaki
  • Patent number: 7603596
    Abstract: A memory device capable of detecting its failure, the memory device includes a data input section for receiving data applied from an external part of the memory device; a latch section for receiving and storing therein the data which have passed through the data input section; memory cell arrays for storing therein the data which have passed through the data input section; and a data compressor for determining whether or not the data stored in the latch section and the data stored in the memory cell arrays are identical to each other.
    Type: Grant
    Filed: August 23, 2007
    Date of Patent: October 13, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae Hoon Cha, Geun Il Lee
  • Patent number: 7596729
    Abstract: A memory device testing system includes a signal generator providing memory command, address and write data signal to write data in a memory device and then read the data from the memory device. Each item of read data is compared to the corresponding item of write data, and fail data is produced indicative of the results of the comparison. The fail data is compressed using a lossless compression scheme so that a record of the fail data can be transferred to a host in real time. The compressed fail data may be a literal record that specifies the value of consecutively repeating fail data as well as the number of times the fail data repeats. The fail data may also be a record specifying the literal records in a repeating sequence of literal records as well as the number of times the sequence repeats.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: September 29, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Matthew L. Adsitt
  • Patent number: 7596737
    Abstract: This invention discloses a system and method for testing a plurality of state retention circuits in an integrated circuit (IC) chip, that comprises a built-in test circuit configured to invoke a clock, a save and a restore signal, and a plurality of serially connected data latches receiving the clock, save and restore signals, wherein each data latch employs one of the plurality of state retention circuits, wherein the plurality of data latches save their existing data in their corresponding state retention circuits upon an assertion of the save signal, restore the data from the plurality of state retention circuits back to their corresponding data latches upon an assertion of the restore signal, and shifting the existing data along the series of the data latches one latch a cycle of the clock signal.
    Type: Grant
    Filed: November 10, 2006
    Date of Patent: September 29, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Hsing Wang, Lee-Chung Lu
  • Patent number: 7594150
    Abstract: A method and apparatus for a structure of a flip-flop that is tolerant to the noise pulses occurring due to the presence of crosstalk faults by sampling the input data multiple times before and after the active clock edge. The final stored value at the flip-flop is determined by the resolution of a counter circuit residing in the flip-flop, which is activated at the change of the sampled input data. This counter based resolution mechanism allows for the detection and filtering of the noise pulse induced at the input of the flip-flop due to a crosstalk fault.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: September 22, 2009
    Assignees: Alcatel-Lucent USA Inc., Rutgers, The State University of New Jersey
    Inventors: Tapan Jyoti Chakraborty, Aditya Jagirdar, Roystein Oliveira
  • Patent number: 7590911
    Abstract: An integrated circuit includes a first deserializer that deserializes serial data containing at least one of test instructions and/or data in a first format. A monitor module communicates with the first deserializer and interprets the test instructions and data using the first format. A frame capture module receives test results according to the interpreted test instructions and data. A first control module communicates with the frame capture module and generates first format control data. The frame capture module packages the test results and the first format control data into frames. A first serializer serializes the frames.
    Type: Grant
    Filed: May 17, 2005
    Date of Patent: September 15, 2009
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Ho, Daniel Smathers
  • Patent number: 7590912
    Abstract: The chip is placed in self simulation mode. When the trace logic does not have any more data to output it changes the state of the advance signal. The clock generator detects this state change and issues one gated clock to the functional logic. This creates a new CPU state and causes the change signal to toggle, and the trace logic notes the state change in the signal. It then exports the internal state presented to it. Once it completes the export, it changes the state of advance and the process begins anew.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: September 15, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Patent number: 7590903
    Abstract: An adaptive test system includes one or more reconfigurable test boards, with each test board including at least one re-configurable test processor. The re-configurable test processors can transmit communicate with one another using an inter-processor communications controller associated with each re-configurable test processor. The communications include configuration information, control information, communication protocols, stimulus data, and responses. Configuration information and stimulus data can also be read from a memory. Configuration information is used to configure one or more re-configurable test processors. Once configured, the re-configurable test processor or processors process the data in order to generate one or more test signals. The one or more test signals are then used to test a DUT.
    Type: Grant
    Filed: May 15, 2006
    Date of Patent: September 15, 2009
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventors: Erik Volkerink, Hugh S. C. Wallace, Klaus-Dieter Hilliges, Ajay Khoche, Jochen Rivoir
  • Patent number: 7587645
    Abstract: An input circuit of a semiconductor memory device includes a data input circuit and a data pattern setting circuit. The data input circuit receives first data, and generates second data by buffering the first data, sampling buffered first data responsive to a write data strobe (WDQS) signal, and parallelizing sampled data. The data pattern setting circuit sets a pattern of the second data responsive to a test mode signal and a data pattern select signal to generate third data. Accordingly, the semiconductor memory device including the input circuit may generate data of various patterns in a test mode, and may perform a high-speed test using a low-speed tester.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: September 8, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Uk Chang, Sang-Woong Shin
  • Patent number: 7577885
    Abstract: A semiconductor integrated circuit has a memory circuit having memory cells, a first register, a second register, a register selection circuit having an input to which an output of the first register and an output of the second register are connected, a memory bypass circuit which is located between a first switching circuit and a second switching circuit, and connected to the inputs and the outputs of the memory circuit. The register selection circuit is switched to the output signals of the first register when performing testing by way of the memory circuit, and switched to output signals of the second register when performing testing by way of the memory bypass circuit.
    Type: Grant
    Filed: September 26, 2006
    Date of Patent: August 18, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tetsu Hasegawa, Chikako Tokunaga