Patents Examined by Kimberly Trice
  • Patent number: 8198187
    Abstract: Disclosed is a method of manufacturing a semiconductor device that does not have a defect, such as wire breakage, due to an uplifted portion created at a rewiring pattern in a multilayer wire structure. Before a wiring layer is formed on an insulation layer, the insulation layer is exposed via a mask. The mask has a weak exposure part and a strong exposure part. The mask is positioned such that the weak exposure part corresponds to an arrangement position of a wire line of an underlying wiring layer, and such that the strong exposure part corresponds to an arrangement position of a via part of the underlying wiring layer. The underlying wiring layer is a layer immediately below the insulation layer.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: June 12, 2012
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Yasuyoshi Ohno
  • Patent number: 8198735
    Abstract: An integrated circuit package system includes a base substrate, attaching a base die over the base substrate, attaching an integrated interposer having interposer circuit devices, over the base die, and forming a package system encapsulant having an encapsulant cavity over the integrated interposer on a side opposite the base die.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: June 12, 2012
    Assignee: Stats Chippac Ltd.
    Inventors: Seng Guan Chow, Il Kwon Shim, Byung Joon Han
  • Patent number: 8158479
    Abstract: This semiconductor memory device comprises a semiconductor substrate, a plurality of tunnel insulator films formed on the semiconductor substrate along a first direction and a second direction orthogonal to the first direction with certain spaces in each directions, a plurality of charge accumulation layers formed on the plurality of tunnel insulator films, respectively, a plurality of element isolation regions formed on the semiconductor substrate, the plurality of element isolation regions including a plurality of trenches formed along the first direction between the plurality of tunnel insulator films, a plurality of element isolation films filled in the plurality of trenches, a plurality of inter poly insulator films formed over the plurality of element isolation regions and on the upper surface and side surfaces of the plurality of charge accumulation layer along the second direction in a stripe shape, a plurality of air gaps formed between the plurality of element isolation films filled in the plurality
    Type: Grant
    Filed: August 17, 2011
    Date of Patent: April 17, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsuhiro Sato, Fumitaka Arai
  • Patent number: 8153469
    Abstract: The present invention provides a method to form Group IBIIIAVIA solar cell absorber layers on continuous flexible substrates. In a preferred aspect, the method forms a Group IBIIIAVIA absorber layer for manufacturing photovoltaic cells by providing a workpiece having a precursor layer formed over a substrate, the precursor layer including copper, indium, gallium and selenium; heating the precursor layer to a first temperature; reacting the precursor layer at the first temperature for a first predetermined time to transform the precursor layer to a partially formed absorber structure; cooling down the partially formed absorber structure to a second temperature, wherein both the first temperature and the second temperature are above 400° C.; and reacting the partially formed absorber structure at the second temperature for a second predetermined time, which is longer than the first predetermined time, to form a Group IBIIIAVIA absorber layer.
    Type: Grant
    Filed: December 7, 2009
    Date of Patent: April 10, 2012
    Assignee: SoloPower, Inc.
    Inventors: Serdar Aksu, Yuriy Matus, Rasmi Das, Mustafa Pinarbasi
  • Patent number: 8110447
    Abstract: A lead frame with patterned conductive runs on the top surface to accept a wire bonded or flip-chip or COL configuration is disclosed. The top pattern is completed and the bottom is etched away creating cavities. The cavities are filled with a pre-mold material that lend structural support of the lead frame. The top is then etch through the lead frame to the pre-mold, except with the top conductive runs exist. In this manner the conductive runs are completed and isolated from each other so that the placement of the runs is flexible. The chips are mounted and the encapsulated and the lead frames are singulated. The pattern on the top and the bottom may be defined by first plated the patterns desired.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: February 7, 2012
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Lay Yeap Lim, David Chong
  • Patent number: 8101964
    Abstract: The present invention provides a semiconductor light-emitting element comprising an electrode part excellent in ohmic contact and capable of emitting light from the whole surface. An electrode layer placed on the light-extraction side comprises a metal part and plural openings. The metal part is so continuous that any pair of point-positions in the part is continuously connected without breaks, and the metal part in 95% or more of the whole area continues linearly without breaks by the openings in a straight distance of not more than ? of the wavelength of light emitted from an active layer. The average opening diameter is of 10 nm to ? of the wavelength of emitted light. The electrode layer has a thickness of 10 nm to 200 nm, and is in good ohmic contact with a semiconductor layer.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: January 24, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ryota Kitagawa, Koji Asakawa, Akira Fujimoto, Tsutomu Nakanishi, Eishi Tsutsumi
  • Patent number: 8102035
    Abstract: According to the method of manufacturing a semiconductor device, a lead frame is provided wherein the thickness of a tab-side end portion of a silver plating for wire connection formed on each suspending lead 1e is smaller than that of a silver plating formed on each lead. Thereafter, a semiconductor chip is mounted onto a tab. In this case, since the entire surface of the silver plating on the suspending lead 1e is in a crushed state, it is possible to prevent contact of the semiconductor chip with the silver plating when mounting the chip onto the tab. Consequently, in a die bonding process, the semiconductor chip can slide on the tab without contacting the silver plating and thereby making it possible to diminish damage to the semiconductor chip when mounted onto the tab and hence to possibly prevent cracking or chipping of the chip when assembling the semiconductor device.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: January 24, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Kenji Amano, Hajime Hasebe
  • Patent number: 8088674
    Abstract: Electrodes made from metallic material are formed on a layer of dielectric material. A bottom layer of at least one of the electrodes constitutes a catalyst material in direct contact with the layer of dielectric material. Nanowires are grown by means of the catalyst, between the electrodes, parallel to the layer of dielectric material. The nanowires connecting the two electrodes are then made from single-crystal semi-conductor material and in contact with the layer of dielectric material.
    Type: Grant
    Filed: November 27, 2008
    Date of Patent: January 3, 2012
    Assignees: Commissariat a l'Energie Atomique, Centre National de al Recherche Scientifique
    Inventors: Thomas Ernst, Thierry Baron, Pierre Ferret, Pascal Gentile, Bassem Salem
  • Patent number: 8084289
    Abstract: A method of fabricating an image sensor device is provided. First, a substrate comprising a pixel array region and a pad region is provided. A patterned metal layer and a first planarization layer having an opening exposing the patterned metal layer in the pad region are sequentially formed on the substrate. A color filter array is formed on the first planarization layer in the pixel array region. A second planarization layer is formed to cover the color filter array and filled into the opening. A plurality of microlens is formed above the color filter array on the second planarization layer. A capping layer is conformally formed on the microlens and the second planarization layer. An etching step is performed to remove the capping layer and the second planarization layer in the opening so as to expose the patterned metal layer in the pad region.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: December 27, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Hsin-Ting Tsai, Cheng-Hung Yu, Chin-Kuang Liu, Kun-Yen Hsu
  • Patent number: 8022464
    Abstract: This semiconductor memory device comprises a semiconductor substrate, a plurality of tunnel insulator films formed on the semiconductor substrate along a first direction and a second direction orthogonal to the first direction with certain spaces in each directions, a plurality of charge accumulation layers formed on the plurality of tunnel insulator films, respectively, a plurality of element isolation regions formed on the semiconductor substrate, the plurality of element isolation regions including a plurality of trenches formed along the first direction between the plurality of tunnel insulator films, a plurality of element isolation films filled in the plurality of trenches, a plurality of inter poly insulator films formed over the plurality of element isolation regions and on the upper surface and side surfaces of the plurality of charge accumulation layer along the second direction in a stripe shape, a plurality of air gaps formed between the plurality of element isolation films filled in the plurality
    Type: Grant
    Filed: July 21, 2009
    Date of Patent: September 20, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsuhiro Sato, Fumitaka Arai
  • Patent number: 8021963
    Abstract: A wafer treating method includes the steps of irradiating a wafer, provided with devices on the face side, from the back side with a laser beam capable of being transmitted through the wafer, while converging the laser beam to a predetermined depth, so as to form a denatured layer between the face side and the back side of the wafer, and separating the wafer into a back-side wafer on the back side relative to the denatured layer and a face-side wafer on the face side relative to the denatured layer. The denatured layer remaining in the face-side wafer is removed, and the face-side wafer is finished to a predetermined thickness, whereby the devices constituting the face-side wafer are finished into products, and the back-side wafer is recycled.
    Type: Grant
    Filed: June 10, 2009
    Date of Patent: September 20, 2011
    Assignee: Disco Corporation
    Inventor: Kazuma Sekiya
  • Patent number: 8008149
    Abstract: A non-volatile semiconductor memory device comprises a plurality of memory cells, each including a semiconductor substrate, a first insulating film formed on the semiconductor substrate, a floating gate formed on the semiconductor substrate with the inclusion of the first insulating film, a second insulating film formed on the floating gate, and a control gate formed on the floating gate with the inclusion of the second insulating film; an element isolation insulating film formed in the semiconductor substrate and extending in a gate-length direction to isolate between memory cells adjoining in a gate-width direction; and an air gap formed on the element isolation insulating film and between floating gates adjoining in the gate-width direction.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: August 30, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takuji Kuniya
  • Patent number: 7968964
    Abstract: The present invention is a front-side contact, back-side illuminated (FSC-BSL) photodiode arrays and front-side illuminated, back-side contact (FSL-BSC) photodiode arrays having improved characteristics, including high production throughput, low-cost manufacturing via implementation of batch processing techniques; uniform, as well as high, photocurrent density owing to presence of a large continuous homogeneous, heavily doped layer; and back to front intrachip connections via the homogenous, heavily doped layers on the front and back sides of the substrate.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: June 28, 2011
    Assignee: OSI Optoelectronics, Inc.
    Inventors: Peter Steven Bui, Narayan Dass Taneja
  • Patent number: 7952205
    Abstract: A method of implementing an injection molded soldering process for three-dimensional structures, particularly, such as directed to three-dimensional semiconductor chip stacking. Also provide is an arrangement for implementing the injection molded soldering (IMS) process. Pursuant to an embodiment of the invention, the joining of the semiconductor chip layers with a substrate is implemented, rather than by means of currently known wire bond stacking, through the intermediary of columns of solder material formed by the IMS process, thereby providing electrical advantages imparted by the flip chip interconnect structures. In this connection, various diversely dimensioned solder column interconnects allow for simple and dependable connections to a substrate by a plurality of superimposed layers or stacked arrays of semiconductor components, such as semiconductor chips.
    Type: Grant
    Filed: July 19, 2010
    Date of Patent: May 31, 2011
    Assignee: International Business Machines Corporation
    Inventors: Luc Belanger, David Danovitch, John U. Knickerbocker
  • Patent number: 7947539
    Abstract: A method of manufacturing a thin film transistor array panel includes forming gate lines including gate electrodes on an insulation substrate; forming a gate insulating layer, semiconductor layer, and etch stop layer on the gate lines; etching and patterning the etch stop and semiconductor layers at the same time using photolithography; ashing and partially removing a photoresist film pattern used in the patterning of the etch stop and semiconductor layers; etching the etch stop layer exposed by removed portions of the photoresist film pattern to form etch stop members; depositing ohmic contact and data metal layers onto the etch stop members, etching the ohmic contact and data metal layers at the same time using photolithography to form data lines having source and drain electrodes, and ohmic contact members below the source and drain electrodes; forming a passivation layer on the data lines and drain electrodes; and forming pixel electrodes on the passivation layer.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: May 24, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Chun-Gi You
  • Patent number: 7948068
    Abstract: According to the method of manufacturing a semiconductor device, a lead frame is provided wherein the thickness of a tab-side end portion of a silver plating for wire connection formed on each suspending lead 1e is smaller than that of a silver plating formed on each lead. Thereafter, a semiconductor chip is mounted onto a tab. In this case, since the entire surface of the silver plating on the suspending lead 1e is in a crushed state, it is possible to prevent contact of the semiconductor chip with the silver plating when mounting the chip onto the tab. Consequently, in a die bonding process, the semiconductor chip can slide on the tab without contacting the silver plating and thereby making it possible to diminish damage to the semiconductor chip when mounted onto the tab and hence to possibly prevent cracking or chipping of the chip when assembling the semiconductor device.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: May 24, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Kenji Amano, Hajime Hasebe
  • Patent number: 7935993
    Abstract: A method for making a semiconductor device structure, includes: providing a substrate; forming on the substrate: a first layer below and second layers on a gate with spacers, source and drain regions adjacent to the gate, silicides on the gate and source and drain regions; disposing a stress layer over the structure resulting from the forming step; disposing an insulating layer over the stress layer; removing portions of the insulating layer to expose a top surface of the stress layer; removing the top surface and other portions of the stress layer and portions of the spacers to form a trench, and then disposing a suitable stress material into the trench.
    Type: Grant
    Filed: December 21, 2009
    Date of Patent: May 3, 2011
    Assignee: International Business Machines Corporation
    Inventors: Xiangdong Chen, Haining S. Yang
  • Patent number: 7923313
    Abstract: A method of manufacturing a transistor includes providing a substrate including in order an electrically conductive material layer and an electrically insulating material layer; depositing a resist material layer over the electrically insulating material layer; patterning the resist material layer to expose a portion of the electrically insulating material layer; removing the exposed electrically insulating material layer to expose a portion of the electrically conductive material layer; removing the exposed electrically conductive material layer to create a reentrant profile in the electrically conductive material layer and the electrically insulating material layer; conformally coating the substrate and the exposed material layers with a second electrically insulating material layer; conformally coating the second electrically insulating material layer with a semiconductor material layer; and directionally depositing an electrically conductive material layer over the semiconductor material layer.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: April 12, 2011
    Assignee: Eastman Kodak Company
    Inventors: Lee W. Tutt, Shelby F. Nelson
  • Patent number: 7906401
    Abstract: A method of tuning threshold voltages of interdiffusible structures. The method includes a step of situating an interdiffusible structure in a path of a laser and a step of illuminating the interdiffusible structure with laser energy until a desired threshold voltage is obtained.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: March 15, 2011
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Ryan P. Lu, Ayax D. Ramirez, Bruce W. Offord, Stephen D. Russell
  • Patent number: 7879719
    Abstract: A semiconductor device and a method for manufacturing the device that minimizes a line width while maximizing integration density of the semiconductor device. The method includes forming an interlayer insulating film on a semiconductor substrate, and then forming a first via hole in the interlayer insulating film, and then forming a resin material in the first via hole, and then forming a plurality of second via holes in the interlayer insulating film laterally, and then forming a resin material in the second via holes, and then simultaneously forming a plurality of third via holes in the interlayer insulating film and a trench spatially above and corresponding to the first via hole, and then removing the resin formed in the first via hole and the second via holes, and then simultaneously forming metal layers in the first via hole and the second and third via holes and the trench.
    Type: Grant
    Filed: December 14, 2008
    Date of Patent: February 1, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Eun-Soo Jeong