Patents Examined by Leigh Marie Garbowski
  • Patent number: 7966582
    Abstract: One embodiment of the present invention provides techniques and systems for modeling long-range extreme ultraviolet lithography (EUVL) flare. During operation, the system may receive an evaluation point in a layout. Next, the system may receive an EUVL model which includes kernels that are discretized at different sampling rates, and which have different sized ambits. Specifically, a kernel that is discretized using a low sampling rate may have a longer range than a kernel that is discretized using a high sampling rate. The system may then convolve the kernels with the layout at the evaluation point over their respective ambits. Next, the system may use the convolution results to determine an indicator value. The indicator value can be used for a number of applications, e.g., to predict pattern shapes that are expected to print on a wafer, to perform optical proximity correction, or to identify manufacturing problem areas in the layout.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: June 21, 2011
    Assignee: Synopsys, Inc.
    Inventors: Lawrence S. Melvin, III, Brian S. Ward, Kunal N. Taravade
  • Patent number: 7966586
    Abstract: The present invention is directed to an improved method, system, and computer program product for accessing and analyzing patterns in the integrated circuit design. The method, system or computer program product includes generating an intelligent signature for a pattern. The derived pattern signature is an intelligent pattern identifier because it retains only essential information about a pattern that corresponds to lithography printable portions of the pattern. Accordingly, one pattern signature can represent a group of design patterns that are equivalents from a lithography perspective.
    Type: Grant
    Filed: December 7, 2007
    Date of Patent: June 21, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Junjiang Lei, Kuang-Hao Lay, Srini Doddi, Weiping Fang
  • Patent number: 7966584
    Abstract: Disclosed is a method of producing a pattern for a semiconductor device, comprising extracting part of a pattern layout, perturbing a pattern included in the part of the pattern layout to generate a perturbation pattern, correcting the perturbation pattern, predicting a first pattern, to be formed on a wafer, from the corrected perturbation pattern, acquiring a first difference between the perturbation pattern and the first pattern, and storing information concerning the perturbation pattern including information concerning the first difference.
    Type: Grant
    Filed: April 8, 2009
    Date of Patent: June 21, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Suigen Kyoh, Toshiya Kotani, Soichi Inoue
  • Patent number: 7958475
    Abstract: A low power integrated circuit design verification method and tool for automatically synthesizing a set of low power assertions from statements of low power intent. The low power intent statements provide low power constraint information for an integrated circuit design. The low power assertions are automatically synthesized from the low power intent statements and may be used to monitor, check and verify power controller signals. The low power assertions may also be used to collect low power functional coverage data.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: June 7, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventor: Neyaz Khan
  • Patent number: 7958484
    Abstract: A method for partitioning the columns of a matrix A. The method includes providing the matrix A in a memory device of a computer system. The matrix A has n columns and m rows, wherein n is an integer of at least 3, and wherein m is an integer of at least 1. The method further includes executing an algorithm by a processor of the computer system. Executing the algorithm includes partitioning the n columns of the matrix A into a closed group of p clusters, wherein p is a positive integer of at least 2 and less than n, wherein the partitioning includes an affinity-based merging of clusters of the matrix A, and wherein each cluster is a collection of one or more columns of A.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: June 7, 2011
    Assignee: International Business Machines Corporation
    Inventors: Kerim Kalafala, Vasant Rao, Chandramouli Visweswariah
  • Patent number: 7945890
    Abstract: A method for registering constraints for EDA (Electronic Design Automation) of an IC (Integrated circuit) includes: associating a constraint with values for constraint identification that identify the constraint in an IC design; associating the constraint with values for constraint relationships that relate the constraint to at least one EDA application; saving the constraint identification values and the constraint relationship values in a constraint registry element; and providing an interface to a user for accessing values of the constraint registry element.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: May 17, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Regis Colwell, Gilles S. C. Lamant, Alisa Yurovsky, Timothy Rosek
  • Patent number: 7930657
    Abstract: Some embodiments include methods in which a mathematical representation of a photomask construction is defined, with such representation comprising a plurality of pillars that individually contain a plurality of distinct layers. Each of the layers has two or more characteristic parameters which are optimized through an optimization loop. Subsequently, specifications obtained from the optimization loop are utilized to form actual layers over an actual reticle base. Some embodiments include photomask constructions in which a radiation-patterning topography is across a reticle base, with such topography including multiple pillars that individually contain at least seven distinct layers.
    Type: Grant
    Filed: January 23, 2008
    Date of Patent: April 19, 2011
    Assignee: Micron Technology, Inc.
    Inventors: William Stanton, Fei Wang
  • Patent number: 7930665
    Abstract: The method of designing a semiconductor integrated circuit of the embodiment is characterized in: reading from a memory unit a fundamental property value of a cell constituting a semiconductor integrated circuit in a case in which a variation of a property value is not taken into consideration, and reading from a memory unit variation coefficients of the property value of the cell corresponding to a dimension of a transistor constituting the cell; and performing a static timing analysis on the semiconductor integrated circuit by using the read variation coefficients and fundamental property value.
    Type: Grant
    Filed: October 1, 2007
    Date of Patent: April 19, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Shigenori Ichinose
  • Patent number: 7921404
    Abstract: A method is disclosed for electronically processing constraints rules defined in a previously developed first PCB design having a first constraints output file, to facilitate the development of a second PCB design having a second constraints output file. The second design has substantially identical topology to the first design and the second constraints output file comprises constraints for signals with identical attributes. The method includes several steps. Firstly, the board file of the first design is compared with the net list file of the second design to identify respective differences between the designs. On the basis of the established differences, a file attributes change report is generated. At least some data from the file attributes change report is stored into an attributes change file.
    Type: Grant
    Filed: December 11, 2007
    Date of Patent: April 5, 2011
    Assignee: International Business Machines Corporation
    Inventors: Anil Bindu Lingambudi, Ankur Kanu Patel, Saravanan Sethuraman, Diyanesh Vidyapoornachary Babu Chinnakkonda
  • Patent number: 7921391
    Abstract: Apparatus, methods, and computer readable code for computing parameters related to layout schemes of integrated circuits are disclosed herein. In some embodiments, an actual layout scheme is computed, for example, for a netlist. In some embodiments, one o or more layout schemes are scored based on, for example, susceptibility to failure and/or yield in manufacturing.
    Type: Grant
    Filed: June 4, 2006
    Date of Patent: April 5, 2011
    Assignee: Daro Semiconductors Ltd.
    Inventor: Eran Weis
  • Patent number: 7917879
    Abstract: A semiconductor chip is provided to include one or more distinct but functionally interfaced dynamic array sections. Each dynamic array section follows a dynamic array architecture that requires conductive features to be linearly defined along a virtual grate in each of a plurality of levels of the semiconductor chip. Each virtual grate is perpendicular to another virtual grate that is either a level above or a level below. Each virtual grate is defined by a framework of parallel lines spaced at a constant pitch. Some of the lines in the virtual grate are occupied by multiple conductive features. A substantially uniform gap can be maintained between proximate ends of adjacent conductive features that occupy a common line in the virtual grate. The substantially uniform gap between the proximate ends of adjacent conductive features can be maintained within each line in the virtual grate that is occupied by multiple conductive features.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: March 29, 2011
    Assignee: Tela Innovations, Inc.
    Inventors: Scott T. Becker, Michael C. Smayling
  • Patent number: 7908573
    Abstract: Roughly described, method and apparatus for laying out an integrated circuit, in which a subject interconnect has predetermined values for a plurality of variables affecting propagation delay of the subject interconnect. The value of an adjustment one of the variables is adjusted to minimize exposure of the propagation delay of the interconnect to process variations causing variations in the value of a subject fabrication variable, and a revised layout is developed in dependence upon the adjusted value for the adjustment variable. In an embodiment, the adjustment is made in dependence upon a pre-calculated “interconnect optimization database” indicating combinations of values for the plurality of variables which have been pre-determined to minimize exposure of interconnect propagation delay to process variations affecting the subject variable.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: March 15, 2011
    Assignee: Synopsys, Inc.
    Inventor: Xi-Wei Lin
  • Patent number: 7904871
    Abstract: A computer-implemented method is provided for optimizing configuration of absorption enhancement structures for use in a photovoltaic enhancement film that is applied onto a PV device to improve absorption. The method includes receiving optimization run input defining a PV enhancement film including defining absorption enhancement structures with differing configurations. The method includes modeling a PV device including PV material such as a silicon thin film. A first ray tracing is performed over a range of incidence angles for the PV device. The method includes determining a set of base path angles for the PV material layer based on this first ray tracing. A second ray tracing is performed for the PV device with the enhancement film, which has absorption enhancement structures. Enhanced path lengths are determined based on the second ray tracking, and path length ratios are determined by comparing the enhanced path lengths to the base path lengths.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: March 8, 2011
    Assignee: Genie Lens Technologies, LLC
    Inventors: Mark A. Raymond, Howard G. Lange, Seth Weiss
  • Patent number: 7904865
    Abstract: A method placing items routing wiring pursuant to integrated circuit specifications to create an integrated circuit design. Once the initially placed design is legalized, rather that just starting wiring routing, the method identifies books in the integrated circuit design which contain blocked items. The method allows the routing process to be paused temporarily, and for the items to be moved to a certain extent. This movement process is controlled (limited according to signal power output by the associated books) so that the timing of the integrated circuit design is not affected by any such “mid-routing” movement. If the books do not have any blocked items, the process continues to route wires between the items and the books. If at any point before or during the routing of the wires it is found that the books do have blocked items, the process pauses the routing of the wires and performs any number of different processes to solve the blocked item situation (unblock the blocked items).
    Type: Grant
    Filed: January 23, 2008
    Date of Patent: March 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Shaul Yifrach, Michael Bar-Joshua, Itamar Tsachi, Boaz Yeger
  • Patent number: 7900182
    Abstract: A method and system of designing an electronic circuit includes dividing a chip area of a design into a plurality of bins, identifying a candidate bin in the plurality of bins, and performing an area reduction on the candidate bin.
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: March 1, 2011
    Assignee: International Business Machines Corporation
    Inventors: Anthony DeGroff Drumm, Lakshmi Narasimha Reddy, Louise Trevillyan
  • Patent number: 7900174
    Abstract: A method and a system for characterizing an integrated circuit (IC) design are disclosed. The method includes receiving a description of leaf cells used in the IC design. The IC design is described in a high-level language by using the description of the leaf cells. The description of the IC design includes specifying placement of the leaf cells and specifying connectivity between them. Further, the method includes extracting a circuit netlist file based on the physical layout of the IC design. The instructions are defined in the high-level language to perform simulations on the extracted circuit netlist file. These simulations are performed on the circuit netlist file to determine the values of the design parameters. Furthermore, the method includes providing the values of the design parameters of the IC design in a pre-defined output format based on the simulations.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: March 1, 2011
    Assignee: Interra Systems Inc
    Inventors: Rajiv Shankar, Kousik Mukherjee, Naveen Chandra Srivastava, Shelly Adhikari, Richa Gupta, Rajat Chopra
  • Patent number: 7900171
    Abstract: A receiver circuit has a chain of stream processing circuits (10a-c)—having control parameter inputs for receiving control parameter values. To facilitate design of circuits that receive data with a variable block size, an included control circuit (14) selects block sizes of blocks of samples in the respective streams of a plurality of the stream processing circuits (10a-c), a control parameter value for each particular block. The control circuit transmits instructions specifying the selected block sizes and control parameter values to local control circuits (11). Each local control circuit is coupled to the control circuit (14) and the control input of a respective corresponding stream processing circuit (10a-c). Each local control circuit (11) receives at least part of the instructions and applies parameter values from the instructions to its corresponding stream processing circuit (10a-c). The local control circuit (11) controls timing of control parameter updates using block sizes from the instructions.
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: March 1, 2011
    Assignee: NXP B.V.
    Inventors: Edwin J. Van Dalen, Abraham J. De Bart, Paulus W. F. Gruijters
  • Patent number: 7890892
    Abstract: Disclosed is a design structure of an improved large scale memory system and, more particularly, an improved memory system that incorporates an array of memory cells that are subjected to minimal location dependent power variations and that, optionally, allows for bi-directional random access of millions of bits. Specifically, the system architecture provides a consistent amount of bit line resistance in the write and read paths to each memory cell in the array, independent of position, in order to minimize variations in power delivery to the cells and, thereby, allow for optimal cell distributions. The system architecture further allows current to pass in either direction through the cells in order to minimize element electro-migration and, thereby, extend memory cell life.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: February 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: John K. De Brosse, Mark C. H. Lamorey
  • Patent number: 7882466
    Abstract: There is provided a technique in which internal wires of a large cell are spuriously patterned and treated as object of a noise check. Internal wires of a large cell are spuriously determined based on terminal information and wiring forbidden information of the large cell and are added to chip wires to be checked, from which an object wire to be checked and at least one affecting wire are selected, a noise value representing a degree at which the at least one affecting wire induces noise onto the signal of the object wire is calculated and the noise check is performed on the basis of the calculated noise check.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: February 1, 2011
    Assignee: Fujitsu Limited
    Inventor: Yoichiro Ishikawa
  • Patent number: 7877718
    Abstract: A placement tool searches for an optimal placement for a plurality of device modules within an integrated circuit (IC) including symmetry groups formed by device modules that are to be symmetrically placed. The tool employs a hierarchical B*-tree (HB*-tree) representation of a trial placement wherein each symmetry group and each module not included in a symmetry group is represented by a separate node of the HB*-tree. Each symmetry group node maps to a symmetry island placement for the symmetry group satisfying all symmetry and other placement constraints on the symmetry group. The placement tool employs a simulated annealing technique to iteratively perturb the HB*-tree representation to produce a sequence of trial placements, and uses a cost function to evaluate the quality of each trial placement.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: January 25, 2011
    Assignee: Springsoft USA, Inc.
    Inventor: Po-Hung Lin