Patents Examined by Magid Y. Dimyan
  • Patent number: 7290230
    Abstract: A method for verifying a digital system design is provided. A first abstraction of a digital system design is performed to obtain an abstract model of the digital system design. One or more first steps of a multiple-step model checking process are performed using the abstract model, the multiple-step model checking process being operable to verify the digital system design. During the multiple-step model checking process, a second abstraction is performed to refine the abstract model. One or more second steps of the multiple-step model checking process are then performed using the refined abstract model.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: October 30, 2007
    Assignee: Fujitsu Limited
    Inventor: Mukul R. Prasad
  • Patent number: 7290228
    Abstract: A hardware accelerator includes hardware support for a combinational only cycle and a latch only cycle in a simulation model with a single partition of latches and combinational logic. Preferred embodiments use a special 4-input 1-output function unit in the hardware accelerator in place of the normal latch function that write back the old latch value for combinational only cycles. Other embodiments include hardware support for separate array write disables for arrays and transparent latches depending on whether the cycle is a combinational only cycle and a latch only cycle. A conditional array write disable dependent on the occurrence of a hardware breakpoint is also included that supports switching from a latch plus combinational cycle to a latch only cycle, to give control to the user before evaluating the combinational logic if a breakpoint occurs on a latch.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: October 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Gernot E. Guenther, Viktor Gyuris, Harrell Hoffman, Kevin Anthony Pasnik, John Henry Westermann, Jr.
  • Patent number: 7284231
    Abstract: A method for improving manufacturability of a design includes performing space or enclosure checks on multiple interacting layers of a layout design and then using the resulting space or enclosure data to move predetermined feature edges in an altered design database to decrease the risk of features widths, feature spaces or feature enclosures being patterned smaller than designed. In some embodiments, the upsized features are larger in the wafer circuit pattern than are drawn in a designed database. The method for improving manufacturability of a design, in some embodiments, is stored on a computer readable storage medium.
    Type: Grant
    Filed: December 21, 2004
    Date of Patent: October 16, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Kevin D. Lucas, Robert E. Boone, Mehul D. Shroff, Kirk J. Strozewski, Chi-Min Yuan, Jason T. Porter
  • Patent number: 7281232
    Abstract: A method and apparatus for checking topology layout routing is described. A method for checking topology layout routing includes accessing actual topology layout information of a circuit. Then, compliance topology information is established. Then, the method checks the actual topology layout information complies with the compliance topology information. Then, the method presents violations of the compliance topology information.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: October 9, 2007
    Assignee: Nvidia Corporation
    Inventors: Sam J. Nicolino, Jr., Ira G. Chayut
  • Patent number: 7278121
    Abstract: The method and apparatus in accordance with the present invention reduces the data size of a layout data structure by reducing the amount of electrically redundant interconnects within a bank of interconnects. Electrically redundant interconnects are the repetitive interconnects within a bank of interconnects which do not contribute to the understanding of the IC. Therefore, a number of these interconnects may be deleted from the banks in the layout data structure, provided that enough interconnects remain to maintain the electrical connectivity and the visual representation of the bank.
    Type: Grant
    Filed: August 23, 2004
    Date of Patent: October 2, 2007
    Assignee: Semiconductor Insights Inc.
    Inventors: Elmehdi Aitnouri, Edward Keyes, Stephen Begg, Val Gont, Dale McIntyre, Mohammed Ouali, Vyacheslav Zavadsky
  • Patent number: 7278118
    Abstract: The invention provides a method and process for designing an integrated circuit based on using the results from both 1) a specific set of silicon test structure characterizations and 2) the decomposition of logic into combinations of simple logic primitives, from which a set of logic bricks are derived that can be assembled for a manufacturable-by-construction design. This implementation of logic is compatible with the lithography settings that are used for implementation of the memory blocks and other components on the integrated circuit, particularly by implementing geometrically consistent component features. The invention provides the ability to recompile a design comprised of logic and memory blocks onto a new geometry fabric to implement a set of technology-specific design changes, without requiring a complete redesign of the entire integrated circuit.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: October 2, 2007
    Assignee: PDF Solutions, Inc.
    Inventors: Lawrence T. Pileggi, Andrzej J. Strojwas, Lucio L. Lanza
  • Patent number: 7275234
    Abstract: A method and system is provided to use the same design manipulation processes for both chip design and kerf design. Concurrent generation of kerf designs and chip designs provides a consistent, accurate, and repeatable process. Improved quality of wafer testing results because the data in the kerf matches data in the chip. The total cycle time for mask manufacturing is reduced because kerf build is accomplished prior to start of the mask manufacturing process. Also provided is the use of load balancing across multiple servers during kerf and chip design to optimize computing resources.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: September 25, 2007
    Assignee: International Business Machines Corporation
    Inventors: Howard T. Barrett, Pierre J. Bouchard, James B. Clairmont, Karen S. Edwards, Maureen F. McFadden, John F. Rudden, Jr., Florence Marie St. Pierre Sears, Jeffrey C. Stamm
  • Patent number: 7272811
    Abstract: An automatic layout method of a semiconductor integrated circuit includes an initial arranging step for initially arranging a logic cell which constitutes the logic circuit; a placement base circuit optimizing step for applying a margin of a constant length to a wiring line length obtained from a placement so as to improve timing; an placement change restriction calculating step for calculating a placement change restriction corresponding to the margin of the constant length; and an incremental arranging step in which when a logic cell placement of a corrected logic circuit is improved, a placement improvement having the placement change restriction calculated based upon the placement change restriction calculating step is carried out.
    Type: Grant
    Filed: January 7, 2005
    Date of Patent: September 18, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Keiichi Kurokawa, Takuya Yasui
  • Patent number: 7269805
    Abstract: Method and apparatus for generating a test program for an integrated circuit having an embedded processor. One embodiment has a system which includes an embedded microprocessor; a plurality of assembly language instructions stored in a memory, where the assembly language instructions substantially exercise a critical path or a path closest to the critical path in the embedded microprocessor; and programmable test circuitry having a programmable clock circuit for providing a multiplied clock signal to the embedded microprocessor in order to execute the assembly language instructions.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: September 11, 2007
    Assignee: Xilinx, Inc.
    Inventors: Ahmad R. Ansari, Mehul R. Vashi, Nigel G. Herron, Stephen M. Douglass
  • Patent number: 7269811
    Abstract: A method of specifying clock domains in electronic circuit designs in a system level design tool is disclosed. The method generally comprises steps of providing a design having a plurality of functional blocks; incorporating a clock tag block within the design; and setting a clock domain provided by the clock tag block for a functional block of the plurality of functional blocks. A design tool enabling the association of clock domains with functional blocks in a system is also disclosed. The design tool generally comprises a plurality of functional blocks; a clock tag block having a predetermined clock rate; and a user interface enabling the selection of the functional blocks and the clock tag block in a design. The clock tag block provides a clock rate for at least one functional block of the plurality of functional blocks.
    Type: Grant
    Filed: January 10, 2003
    Date of Patent: September 11, 2007
    Assignee: Xilinx, Inc.
    Inventors: Jonathan B. Ballagh, Roger B. Milne, Jeffrey D. Stroomer
  • Patent number: 7266793
    Abstract: A method and computer program for verifying a design of a circuit comprises providing a model of the design; providing a first property for the design, wherein the first property describes a first behavior; checking the model using the first property and an environment of the design starting at a reset state until an example of the first behavior occurs; providing a second property for the design, wherein the second property describes a second behavior; and checking the model using the second property and an environment of the design starting at a state when the example of the first behavior occurs.
    Type: Grant
    Filed: March 22, 2004
    Date of Patent: September 4, 2007
    Assignee: Marvell Semiconductor Israel, Ltd.
    Inventor: Nimrod Agmon
  • Patent number: 7266789
    Abstract: An apparatus and method for optimizing the size of an IO collar and reducing the die size of an IC chip is provided. The method and apparatus includes arranging rotated IO cells around the edges of the IC chip to reduce the number of unused IO cells in the IO collar. All the IO cells may be rotated, or a combination of rotated and non-rotated IO cells may form the IO collar. For each edge of the IC chip having rotated IO cells, each edge may have the same number of stacks of IO cells or a different number of stacks of IO cells.
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: September 4, 2007
    Assignee: International Business Machines Corporation
    Inventors: Wai Ling Chung-Maloney, Haruo Ito, Douglas W. Stout
  • Patent number: 7257788
    Abstract: A method for converting globally clock-gated circuits to locally clock-gated circuits is disclosed. A timing analysis is initially performed on an integrated circuit (IC) design to generate a slack time report for all globally clock-gated circuits within the IC design. Based on their respective slack time indicated in the slack time report, all globally clock-gated circuits that should be connected to locally generated clocks are identified. After disconnecting from a global clock tree, each of the identified globally clock-gated circuits is subsequently connected to a locally generated clock having a clock delay comparable to its slack time indicated in the slack time report.
    Type: Grant
    Filed: November 8, 2004
    Date of Patent: August 14, 2007
    Assignee: International Business Machines Corporation
    Inventors: Allen P. Haar, Joseph A. Iadanza, Sebastian T. Ventrone, Ivan L. Wemple
  • Patent number: 7254795
    Abstract: A method for optimizing low threshold-voltage (Vt) devices in an integrated circuit design. The method includes identifying paths and nodes within the integrated circuit design, determining node overlap within the integrated circuit design, calculating possible solutions for addressing timing violations within the integrated circuit design, choosing a solution for addressing timing violations, inserting low Vt devices at particular nodes of the integrated circuit design, and repeating the calculated possible solutions wherein choosing a solution and inserting low Vt devices at particular nodes to address timing violations within the integrated circuit design.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: August 7, 2007
    Assignee: Sun Microsystems, Inc.
    Inventors: Umesh Nair, Toshinari Takayanagi
  • Patent number: 7254788
    Abstract: A precharacterized cell library for EDA tools includes driver model data includes output current signals indexed by output voltages. The driver model can then generate a model output by interpolating the output current signals using the output voltage to generate an output current. The output current can then be used to generate an updated output voltage across a predetermined time increment. The output current signals can then be interpolated using the updated output voltage to generate a new output current, when can be used to update the output voltage once again across the next time increment. By repeating this process across a time frame for the model output signal, a model output current and output voltage signals can be generated that match the actual output current and voltage signals from a driver in a multi-driver system.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: August 7, 2007
    Assignee: Synopsys, Inc.
    Inventor: Harold J. Levy
  • Patent number: 7251793
    Abstract: A method for facilitating semiconductor wafer lot disposition includes providing detailed descriptive information of the semiconductor wafer layout and generating data concerning at least one defect in the semiconductor wafers at an intermediate processing stage. At least one layer model is generated from the information and data to disclose the effects of the defect upon at least one later layer of the semiconductor wafers. The layer model is utilized to determine the subsequent disposition of the wafer lot.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: July 31, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Paul J. Steffan
  • Patent number: 7251798
    Abstract: In one embodiment, each of a plurality of stimulus signals is sequentially driven onto a number of stimulus signal paths. Each of the plurality of stimulus signals has a trigger edge. As each stimulus signal is driven onto the number of stimulus signal paths, a victim signal having a sensor edge is driven onto a victim signal path. After driving a corresponding stimulus and victim signal, the victim signal is sampled at or about a timing of the signal's sensor edge to thereby characterize the signal's sensor edge. The sensor edge characterizations corresponding to the different stimulus signals are then analyzed to quantify a timing error induced by crosstalk between the victim signal path and one or more of the stimulus signal paths.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: July 31, 2007
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventor: Hiroshi Matsumiya
  • Patent number: 7246330
    Abstract: An apparatus is for detecting body diode conduction in a semiconductor device that includes first regions fixed with a substrate having an upper surface to establish a source, gate and drain with drain-to-source current flow parallel with the surface. The first regions experience body diode conduction in a first inter-region current flow among first involved regions. The apparatus includes: second regions fixed with the substrate and substantially similar in relative size and placement with respect to other second regions as a corresponding first region is in relative size and placement with respect to other first regions. The second regions experience model body diode conduction in a second inter-region current flow among second involved regions. The model body diode conduction occurs generally contemporaneously with the body diode conduction. Selected second regions are coupled with selected first regions to establish a connection locus to permit detecting the model body diode conduction.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: July 17, 2007
    Assignee: Texas Instruments Incorporated
    Inventor: Cetin Kaya
  • Patent number: 7243322
    Abstract: During verification of a description of a circuit containing a pre-determined assertion, in order to detect incorrect behavior of the circuit that may be caused by metastability occurring in signals that cross clock domains (“CDC” signals) in the circuit, the description of the circuit is automatically transformed by addition of circuitry to inject the effects of metastability into the CDC signals. The transformed description containing the circuitry to inject metastability is verified in the normal manner. Certain embodiments analyze the transformed description using a model checking method to determine a stimulus sequence that will cause the pre-determined assertion to be violated. The transformed circuit is then simulated in some embodiments, using the stimulus sequence from model checking, and an incorrect behavior of the circuit due to metastability is displayed, for diagnosis by the circuit designer. The circuit designer may revise the circuit description and iterate as noted above.
    Type: Grant
    Filed: June 1, 2004
    Date of Patent: July 10, 2007
    Inventors: Tai An Ly, Ka Kei Kwok, Vijaya Vardhan Gupta, Ross Andrew Ander, Ping Fai Yeung, Neil Patrick Hand, Lawrence Curtis Widdoes, Jr.
  • Patent number: 7234121
    Abstract: The present invention provides, in one aspect, a method of designing an integrated circuit. In this particular aspect, the method comprises reducing soft error risk in an integrated circuit by locating a structure, relative to a node of the integrated circuit to reduce a linear energy transfer associated with a sub-atomic particle, into the node, such that the linear energy transfer does not exceed a threshold value associated with the integrated circuit.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: June 19, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Xiaowei Zhu, Robert C. Baumann