Patents Examined by Parviz Hassanzadeh
  • Patent number: 11527426
    Abstract: A substrate processing device includes a transfer chamber configured to transfer a substrate under an atmospheric atmosphere and a plurality of processing units each including at least one processing chamber for processing the substrate under a vacuum atmosphere and at least one load-lock chamber connected to the processing chamber to switch an inner atmosphere thereof between the atmospheric atmosphere and the vacuum atmosphere. The transfer chamber includes a connection unit configured to connect the transfer chamber and the load-lock chamber such that each of the processing units is detachably attached. The connection unit includes an opening that allows the transfer chamber to communicate with the load-lock chamber, and an opening/closing mechanism configured to open and close the opening portion.
    Type: Grant
    Filed: March 12, 2018
    Date of Patent: December 13, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Norihiko Tsuji, Atsushi Kawabe, Hiroki Oka
  • Patent number: 11404251
    Abstract: A cooling table includes a first portion, a second portion, a first path, a second path and a third path. An electrostatic chuck is provided on the first portion, and the first portion is provided on the second portion. The first path is provided within the first portion, and the second path is provided within the second portion. The third path is connected to the first path and the second path. A chiller unit is connected to the first path and the second path. The first path is extended within the first portion along the electrostatic chuck, and the second path is extended within the second portion along the electrostatic chuck. A coolant outputted from the chiller unit passes through the first path, the third path and the second path in sequence, and then is inputted to the chiller unit.
    Type: Grant
    Filed: February 1, 2018
    Date of Patent: August 2, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shin Yamaguchi, Akiyoshi Mitsumori, Takehiko Arita, Koichi Murakami
  • Patent number: 11404248
    Abstract: Embodiments include a modular microwave source. In an embodiment, the modular microwave source comprises a voltage control circuit, a voltage controlled oscillator, where an output voltage from the voltage control circuit drives oscillation in the voltage controlled oscillator. The modular microwave source may also include a solid state microwave amplification module coupled to the voltage controlled oscillator. In an embodiment, the solid state microwave amplification module amplifies an output from the voltage controlled oscillator. The modular microwave source may also include an applicator coupled to the solid state microwave amplification module, where the applicator is a dielectric resonator.
    Type: Grant
    Filed: August 14, 2020
    Date of Patent: August 2, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Philip Allan Kraus, Thai Cheng Chua
  • Patent number: 11398372
    Abstract: A plasma processing apparatus that performs plasma processing to a substrate held on a transport carrier including a frame and a holding sheet that covers an opening of the frame includes: a transport mechanism that transports the transport carrier; a position measuring section that measures a position of the substrate to the frame; a plasma processing section that includes a plasma processing stage on which the transport carrier is loaded and a cover that covers the frame and a part of the holding sheet loaded on the plasma processing stage, and has a window section for exposing a part of the substrate; and a control section that controls the transport mechanism such that the transport carrier is loaded on the plasma processing stage to satisfy a positional relationship between the window section and the substrate based on the position information of the substrate to the frame.
    Type: Grant
    Filed: August 5, 2015
    Date of Patent: July 26, 2022
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Noriyuki Matsubara, Mitsuru Hiroshima, Toshihiro Wada
  • Patent number: 11342200
    Abstract: Provided is an exhaust system of a wafer treatment device, and the main purpose thereof is to prevent secondary contamination of a wafer by not allowing foreign substances such as process gases and fumes and the like floating in the wafer treatment device to make contact with the wafer in a side storage. The wafer treatment device comprises: a cleaning device for removing foreign substances remaining on a wafer; and an exhaust device comprising first and second main bodies at the lower side of a main body of the wafer treatment device. By not allowing foreign substances such as process gases and fumes and the like floating in the wafer treatment device to make contact with a wafer in a side storage, secondary contamination of the wafer is prevented.
    Type: Grant
    Filed: October 31, 2013
    Date of Patent: May 24, 2022
    Assignee: PICO & TERA CO., LTD.
    Inventor: Bum Je Woo
  • Patent number: 11333246
    Abstract: An apparatus for processing a substrate is disclosed and includes, in one embodiment, a twin chamber housing having two openings formed therethrough, a first pump interface member coaxially aligned with one of the two openings formed in the twin chamber housing, and a second pump interface member coaxially aligned with another of the two openings formed in the twin chamber housing, wherein each of the pump interface members include three channels that are concentric with a centerline of the two openings.
    Type: Grant
    Filed: April 22, 2015
    Date of Patent: May 17, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Andrew Nguyen, Bradley J. Howard, Nicolas J. Bright
  • Patent number: 11326254
    Abstract: An apparatus and method for protecting a gas container interior, where an inlet and exhaust manifold include a port assembly attachable to a port of the gas container is provided, the gas container interior is exposed to sequential self-saturating surface reactions by sequential inlet of reactive gases via the port assembly and the port into the gas container interior, and reaction residue is pumped via the port and the port assembly out from the gas container.
    Type: Grant
    Filed: March 3, 2014
    Date of Patent: May 10, 2022
    Assignee: Picosun Oy
    Inventors: Väino Sammelselg, Juhana Kostamo, Willi Bayerl, Jaan Aarik, Lauri Aarik, Sven Lindfors, Peter Adam, Juho Poutiainen
  • Patent number: 11322373
    Abstract: A liquid processing apparatus according to an embodiment includes a holding unit, a driving unit, a shaft, and a nozzle. The driving unit rotates the substrate and the holding unit that horizontally holds the substrate. The shaft is extended along an axial direction of a rotation axis. The nozzle includes a base that is attached to an upper end of the shaft, and a liquid supply unit that is extended from the base to a radial-direction outer side of the substrate and includes discharge ports formed to discharge the liquid toward a lower surface of the substrate. The shaft and the base are configured to include a discharge passage that is formed along the axial direction to discharge the liquid discharged toward the lower surface of the substrate. The base includes a concave portion that is concave downward to cause the liquid to flow toward the discharge passage.
    Type: Grant
    Filed: January 29, 2018
    Date of Patent: May 3, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Terufumi Wakiyama, Norihiro Ito
  • Patent number: 11302519
    Abstract: Methods of patterning low-k dielectric films are described. In an example, a method of patterning a low-k dielectric film involves forming and patterning a mask layer above a low-k dielectric layer, the low-k dielectric layer disposed above a substrate. The method also involves modifying exposed portions of the low-k dielectric layer with a nitrogen-free plasma process. The method also involves removing, with a remote plasma process, the modified portions of the low-k dielectric layer selective to the mask layer and unmodified portions of the low-k dielectric layer.
    Type: Grant
    Filed: September 9, 2015
    Date of Patent: April 12, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Srinivas D. Nemani, Jeremiah T. Pender, Qingjun Zhou, Dmitry Lubomirsky, Sergey G. Belostotskiy
  • Patent number: 11282715
    Abstract: Apparatus for use in preparing heterostructures having a reduced concentration of defects including apparatus for stressing semiconductor substrates to allow them to conform to a crystal having a different crystal lattice constant.
    Type: Grant
    Filed: June 11, 2019
    Date of Patent: March 22, 2022
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Robert J. Falster, Vladimir V. Voronkov, John A. Pitney, Peter D. Albrecht
  • Patent number: 11276583
    Abstract: Apparatus for use in preparing heterostructures having a reduced concentration of defects including apparatus for stressing semiconductor substrates to allow them to conform to a crystal having a different crystal lattice constant.
    Type: Grant
    Filed: June 11, 2019
    Date of Patent: March 15, 2022
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Robert J. Falster, Vladimir V. Voronkov, John A. Pitney, Peter D. Albrecht
  • Patent number: 11276582
    Abstract: Apparatus for use in preparing heterostructures having a reduced concentration of defects including apparatus for stressing semiconductor substrates to allow them to conform to a crystal having a different crystal lattice constant.
    Type: Grant
    Filed: June 11, 2019
    Date of Patent: March 15, 2022
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Robert J. Falster, Vladimir V. Voronkov, John A. Pitney, Peter D. Albrecht
  • Patent number: 11152234
    Abstract: A processing liquid used in a substrate liquid processing apparatus can be supplied in a constant amount with high accuracy. A substrate liquid processing apparatus A1 includes a storage line 61 configured to store a processing liquid therein; an introduction line 62 configured to introduce the processing liquid into the storage line 61; a discharge line 63 configured to discharge the processing liquid from the storage line 61; and a gas supply unit 65 configured to perform a strickling of the processing liquid by jetting a gas to a surface of the processing liquid stored in the storage line 61.
    Type: Grant
    Filed: March 29, 2018
    Date of Patent: October 19, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Teruaki Konishi, Kazusige Sano, Koji Tanaka
  • Patent number: 11118265
    Abstract: A film deposition method includes steps of: placing a substrate in a substrate receiving area of a susceptor provided in a vacuum chamber; evacuating the vacuum chamber; alternately supplying plural kinds of reaction gases to the substrate in the substrate receiving area from corresponding reaction gas supplying parts thereby to form a thin film on the substrate; supplying plasma including a chemical component that reacts with second reaction gas adsorbed on the substrate from a plasma generation part to the substrate when the thin film is being formed, thereby to alter the thin film on the substrate; and changing plasma intensity of the plasma supplied to the substrate, at a predetermined point of time to a different plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part before the predetermined point of time.
    Type: Grant
    Filed: November 14, 2017
    Date of Patent: September 14, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Shigenori Ozaki, Hitoshi Kato, Takeshi Kumagai
  • Patent number: 11031252
    Abstract: A chamber door, such as an etch chamber door may be heated during etch processing to, e.g., prevent etching by-products from adhering to the etch chamber door. Such heating of the etch chamber door, however, can impact the processing parameters and result in non-uniform processing, such as non-uniform etching characteristics across a semiconductor wafer, for instance. An insulator, such as an insulating film covering surfaces of the heated door, can reduce or eliminate transmission of heat from the door to a work piece such as a semiconductor wafer and this reduce or eliminate the non-uniformity of the process results.
    Type: Grant
    Filed: January 13, 2017
    Date of Patent: June 8, 2021
    Assignee: Taiwan Semiconductor Manufacturing Compant, Ltd.
    Inventors: Meng-Je Chuang, Wan-Chun Kuan, Yi-Wei Chiu, Tzu-Chan Weng
  • Patent number: 11004663
    Abstract: Embodiments described herein provide an apparatus for improving deposition uniformity by improving plasma profile using a tri-cut chamber liner. The apparatus also includes a lid assembly having a split process stack for reducing downtime and a bottom heater support for more efficient heating of chamber walls.
    Type: Grant
    Filed: March 6, 2014
    Date of Patent: May 11, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Juan Carlos Rocha-Alvarez, Dale R. Du Bois, Amit Kumar Bansal
  • Patent number: 10998174
    Abstract: A dry etching equipment includes a topography simulator and a control section. The topography simulator controls an amount of deposition species incident upon a sidewall to be processed in accordance with a wafer opening ratio and a solid angle of a local pattern, the deposition amount being represented by a product of a reaction product flux and the solid angle. The control section compares a database obtained by the topography simulator with an actual measured value detected from an etching condition during dry etching to calculate a correction value for etching process, and indicates the correction value to an etching chamber in the dry etching equipment. The dry etching equipment corrects in real time a parameter for the etching process conducted in the etching chamber.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: May 4, 2021
    Assignee: Sony Semiconductor Solutions Corporation
    Inventors: Nobuyuki Kuboi, Tetsuya Tatsumi
  • Patent number: 10854425
    Abstract: Methods and systems for controlling temperatures in plasma processing chamber with reduced controller response times and increased stability. Temperature control is based at least in part on a feedforward control signal derived from a plasma power input into the processing chamber. A feedforward control signal compensating disturbances in the temperature attributable to the plasma power may be combined with a feedback control signal counteracting error between a measured and desired temperature.
    Type: Grant
    Filed: February 2, 2016
    Date of Patent: December 1, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Chetan Mahadeswaraswamy, Walter R Merry, Sergio Fukuda Shoji, Chunlei Zhang, Yashaswini Pattar, Duy D Nguyen, Tina Tsong, Shane C Nevil, Douglas A Buchberger, Jr., Fernando M Silveira, Brad L Mays, Kartik Ramaswamy, Hamid Noorbakhsh
  • Patent number: 10847391
    Abstract: A transfer chamber for semiconductor device manufacturing includes (1) a plurality of sides that define a region configured to maintain a vacuum level and allow transport of substrates between processing chambers, the plurality of sides defining a first portion and a second portion of the transfer chamber and including (a) a first side that couples to two twinned processing chambers; and (b) a second side that couples to a single processing chamber; (2) a first substrate handler located in the first portion of the transfer chamber; (3) a second substrate handler located in the second portion of the transfer chamber; and (4) a hand-off location configured to allow substrates to be passed between the first portion and the second portion of the transfer chamber using the first and second substrate handlers. Method aspects are also provided.
    Type: Grant
    Filed: February 14, 2014
    Date of Patent: November 24, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Nir Merry, Michael Robert Rice, Sushant S. Koshti, Jeffrey C. Hudgens
  • Patent number: 10832926
    Abstract: An ion implantation apparatus, system, and method are provided for transferring a plurality of workpieces between vacuum and atmospheric pressures, wherein an alignment mechanism is operable to align a plurality of workpieces for generally simultaneous transportation to a dual-workpiece load lock chamber. The alignment mechanism comprises a characterization device, an elevator, and two vertically-aligned workpiece supports for supporting two workpieces. First and second atmospheric robots are configured to generally simultaneously transfer two workpieces at a time between load lock modules, the alignment mechanism, and a FOUP. Third and fourth vacuum robots are configured to transfer one workpiece at a time between the load lock modules and a process module.
    Type: Grant
    Filed: December 27, 2016
    Date of Patent: November 10, 2020
    Assignee: Axcelis Technologies, Inc.
    Inventors: Joseph Ferrara, Robert J. Mitchell