Patents by Inventor Balasubramanian Pranatharthiharan
Balasubramanian Pranatharthiharan has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).
-
Publication number: 20250107068Abstract: The present technology includes vertical cell array transistor (VCAT) with improved gate induced leakage current. The arrays one or more bit lines arranged in a first horizontal direction and one or more word lines arranged in a second horizontal direction. The arrays include one or more channels extending in a vertical direction generally orthogonal to the first direction and the second horizontal direction, such that the bit lines intersect with a source/drain region of the plurality of channels, and the word lines intersect with gate regions of the plurality of channels. Arrays include where at least one word includes a first section adjacent to the source/drain region and a second section adjacent to the gate region, where the second section contains a high work function material and the first section contains a low work function material.Type: ApplicationFiled: September 16, 2024Publication date: March 27, 2025Applicant: Applied Materials, Inc.Inventors: Tong LIU, Sony VARGHESE, Zhijun CHEN, Fredrick FISHBURN, Balasubramanian PRANATHARTHIHARAN
-
Publication number: 20250081432Abstract: Vertical cell dynamic random-access memory (DRAM) arrays and methods of forming arrays with improved stability and word line resistivity are provided. The arrays include a plurality of bit lines arranged in a first horizontal direction and a plurality of word lines arranged in a second horizontal direction. The arrays include a plurality of channels extending in a vertical direction generally orthogonal to the first direction and the second horizontal direction, such that the plurality of bit lines intersect with a source/drain region of the plurality of channels. In addition, arrays include a bridge extending between a first channel of the plurality of channels and a second channel of the plurality of channels, where the first channel is spaced apart from the second channel in a row extending in the second horizontal direction. Arrays include a gate formed around at least a portion of the plurality of channels and the bridge.Type: ApplicationFiled: August 27, 2024Publication date: March 6, 2025Applicant: Applied Materials, Inc.Inventors: Zhijun CHEN, Fredrick FISHBURN, Tong LIU, Sony VARGHESE, Balasubramanian PRANATHARTHIHARAN
-
Patent number: 12237368Abstract: A method of forming a semiconductor structure includes forming a first middle-of-line (MOL) oxide layer and a second MOL oxide layer in the semiconductor structure. The first MOL oxide layer including multiple gate stacks formed on a substrate, and each gate stack of the gate stacks including a source/drain junction. A first nitride layer is formed over a silicide in the first MOL oxide layer. A second nitride layer is formed. Trenches are formed through the second nitride layer down to the source/drain junctions. A nitride cap of the plurality of gate stacks is selectively recessed. At least one self-aligned contact area (CA) element is formed within the first nitride layer. The first MOL oxide layer is selectively recessed. An air-gap oxide layer is deposited. The air gap oxide layer is reduced to the at least one self-aligned CA element and the first nitride layer.Type: GrantFiled: November 4, 2022Date of Patent: February 25, 2025Assignee: Adeia Semiconductor Solutions LLCInventors: Injo Ok, Balasubramanian Pranatharthiharan, Soon-Cheon Seo, Charan V. Surisetty
-
Patent number: 12237328Abstract: The present invention relates generally to semiconductors, and more particularly, to a structure and method of minimizing shorting between epitaxial regions in small pitch fin field effect transistors (FinFETs). In an embodiment, a dielectric region may be formed in a middle portion of a gate structure. The gate structure be formed using a gate replacement process, and may cover a middle portion of a first fin group, a middle portion of a second fin group and an intermediate region of the substrate between the first fin group and the second fin group. The dielectric region may be surrounded by the gate structure in the intermediate region. The gate structure and the dielectric region may physically separate epitaxial regions formed on the first fin group and the second fin group from one another.Type: GrantFiled: April 19, 2023Date of Patent: February 25, 2025Assignee: Adeia Semiconductor Solutions LLCInventors: Kangguo Cheng, Balasubramanian Pranatharthiharan, Alexander Reznicek, Charan V. Surisetty
-
Publication number: 20250037997Abstract: A semiconductor device and a method for manufacturing thereof. A substrate is provided. One or more groups of layers are formed on top of the substrate. A compensation layer is formed on top of at least one group of layers. At least one silicon layer is formed on top of the compensation layer. At least a portion of one or more layers in the one or more groups of layers is etched. The semiconductor device is formed.Type: ApplicationFiled: July 23, 2024Publication date: January 30, 2025Applicant: Applied Materials, Inc.Inventors: Ruiying HAO, Thomas John KIRSCHENHEITER, Fredrick FISHBURN, Abhishek DUBE, Raghuveer S. MAKALA, Balasubramanian PRANATHARTHIHARAN
-
Publication number: 20250040170Abstract: A method of forming a portion of a gate-all-around field-effect transistor (GAA FET) includes forming placeholders, each interfacing with an extension region via a cap layer, in recesses formed in portions of a substrate isolated by shallow trench isolations (STIs), the recesses extending into an inter-layer dielectric (ILD) formed on the substrate, removing the placeholders selectively to the substrate, the cap layers, and the STIs, forming selective cap layers at bottoms of the recesses, performing a substrate removal process to isotropically etch the substrate within the recesses, performing a conformal deposition process to form a spacer on exposed surfaces of the substrate and the selective cap layers within the recesses, sculpting the spacer on sidewalls of the substrate and the STIs within the recesses, performing a cap layer removal process to remove the cap layers within the recesses, and forming metal contacts within the recesses.Type: ApplicationFiled: June 10, 2024Publication date: January 30, 2025Inventors: Veeraraghavan S. BASKER, Gregory COSTRINI, Ashish PAL, Benjamin COLOMBEAU, Balasubramanian PRANATHARTHIHARAN
-
Publication number: 20250022935Abstract: Methods of manufacturing memory devices are provided. The method comprises forming a first epitaxial layer on a substrate; and forming a memory array on the first epitaxial layer, the memory array comprising a memory stack of alternating layers of an oxide material and a metal material on the first epitaxial layer, at least one memory cell extending from the first epitaxial layer through the memory stack, and a slit filled with a fill material adjacent to the at least one memory cell.Type: ApplicationFiled: July 2, 2024Publication date: January 16, 2025Applicant: Applied Materials, Inc.Inventors: Chang Seok Kang, Raghuveer Satya Makala, Naomi Yoshida, Hsueh Chung Chen, Balasubramanian Pranatharthiharan
-
Publication number: 20250006474Abstract: A cluster tool for forming an interconnection structure includes a pre-clean chamber, a selective chemical vapor deposition (CVD) chamber, a plasma-enhanced CVD (PECVD) chamber, one or more transfer chambers coupled to the pre-clean chamber, the selective CVD chamber, and the PECVD chamber, and configured to transfer the interconnection structure between the pre-clean chamber, the selective CVD chamber, and the PECVD chamber without breaking vacuum environment, and a controller configured to cause pre-cleaning of an exposed surface of a metal layer formed within a first dielectric layer of the interconnection structure in the pre-clean chamber, selective deposition of a cap layer on the pre-cleaned surface of the metal layer in the selective CVD chamber, and deposition of deposit a second dielectric layer on the cap layer and an exposed surface of the first dielectric layer in the PECVD chamber.Type: ApplicationFiled: June 29, 2023Publication date: January 2, 2025Inventors: Naomi YOSHIDA, Nobuyuki SASAKI, Yoichi SUZUKI, Tomoyuki TADA, Balasubramanian PRANATHARTHIHARAN
-
Publication number: 20240429048Abstract: A semiconductor device and a method for manufacturing thereof. A substrate is provided. At least one silicon layer is formed on top of the substrate. At least one silicon-germanium layer is formed on top of at least one silicon layer. At least one silicon-germanium layer includes at least one n-type dopant. The semiconductor device having at least one silicon layer and at least one silicon-germanium layer is formed.Type: ApplicationFiled: June 17, 2024Publication date: December 26, 2024Applicant: Applied Materials, Inc.Inventors: Ruiying HAO, Thomas KIRSCHENHEITER, Arvind KUMAR, Mahendra PAKALA, Roya BAGHI, Balasubramanian PRANATHARTHIHARAN, Fredrick FISHBURN
-
Patent number: 12166042Abstract: A semiconductor device including a substrate; a continuous buried oxide layer (BOX) formed on the substrate; and a plurality of nanosheet gate-all-round (GAA) device structures on the BOX, wherein a first plurality of stacked gates of the nanosheet GAA device structures are disposed in a logic portion of the substrate and have a first nanosheet width, wherein a second plurality of stacked gates of the nanosheet GAA device structures are disposed in a high density region of the substrate and have a second nanosheet width less than the first nanosheet width, wherein the nanosheet GAA device structures are disposed directly on the continuous buried oxide layer, and wherein a bottom layer of the nanosheet GAA device structures is a bottom gate formed directly on the BOX.Type: GrantFiled: October 15, 2021Date of Patent: December 10, 2024Assignee: International Business Machines CorporationInventors: Nicolas Loubet, Huiming Bu, Balasubramanian Pranatharthiharan
-
Publication number: 20240407170Abstract: Methods and structures to achieve low voltage (LV) and high voltage (HV) scale-down by suppressing the short channel effect of LV as well as increasing breakdown voltage of HV transistor are provided. A semiconductor device comprises a first transistor comprising a first well region of a first conductivity type, a first gate region disposed above the first well region, and a first contact region including a first epitaxial semiconductor adjacent to the first gate region; and a second transistor comprising a second well region of a second conductivity, a second gate region disposed above the second well region, and a second contact region including a second epitaxial semiconductor adjacent to the second gate region.Type: ApplicationFiled: May 9, 2024Publication date: December 5, 2024Applicant: Applied Materials, Inc.Inventors: Chang Seok Kang, Raman Gaire, Hsueh Chung Chen, In Soo Jung, Houssam Lazkani, Hui Zhao, Liu Jiang, Balasubramanian Pranatharthiharan, El Mehdi Bazizi
-
Publication number: 20240387458Abstract: In some embodiments, a method for forming a multiple die stack comprises forming a first circuit wafer with multiple first circuit dies and a first circuit support layer on a bottom of the first circuit wafer where each first circuit die has a power and circuit layer underlying a power and signal layer, forming an interposer wafer with multiple interposer dies and an interposer support layer on a top of the interposer wafer where each interposer die has a power and signal layer underlying a power via and signal via layer, and hybrid bonding a top surface of the first circuit wafer to a bottom surface of the interposer wafer to form a first bonded wafer with electrical power and signal connections between the multiple first circuit dies and the multiple interposer dies where the interposer wafer provides structural support of the first bonded wafer during subsequent processing.Type: ApplicationFiled: May 18, 2023Publication date: November 21, 2024Inventors: Suketu PARIKH, Andrew YEOH, Arvind SUNDARRAJAN, Nirmalya MAITY, Balasubramanian PRANATHARTHIHARAN, Martinus Maria BERKENS
-
Patent number: 12136655Abstract: A semiconductor device includes a dielectric isolation layer, a plurality of gates formed above the dielectric isolation layer, a plurality of source/drain regions above the dielectric isolation layer between the plurality of gates, and at least one contact placeholder for a backside contact. The at least one contact placeholder contacts a bottom surface of a first source/drain region of the plurality of source/drain regions. The semiconductor device further includes at least one backside contact contacting a bottom surface of a second source/drain region of the plurality of source/drain regions, and a buried power rail arranged beneath, and contacting the at least one backside contact.Type: GrantFiled: September 22, 2021Date of Patent: November 5, 2024Assignee: International Business Machines CorporationInventors: Ruilong Xie, Brent Anderson, Albert M. Young, Kangguo Cheng, Julien Frougier, Balasubramanian Pranatharthiharan, Roy R. Yu, Takeshi Nogami
-
Publication number: 20240365551Abstract: Exemplary semiconductor structures may include a substrate. The structures may include a first layer of silicon-and-oxygen-containing material overlying the substrate. The structures may include a second layer of silicon-and-oxygen-containing material. The structures may include a first layer of metal-and-oxygen-containing material between the first layer of silicon-and-oxygen-containing material and the second layer of silicon-and-oxygen-containing material. The first layer of metal-and-oxygen-containing material may include a first metal. The structures may include a second layer of metal-and-oxygen-containing material disposed within the first layer of metal-and-oxygen-containing material. The second layer of metal-and-oxygen-containing material may include a second metal. The structures may include a gate disposed within the second layer of metal-and-oxygen-containing material.Type: ApplicationFiled: April 9, 2024Publication date: October 31, 2024Applicant: Applied Materials, Inc.Inventors: Chang Seok Kang, Steven C. H. Hung, Hsueh Chung Chen, Naomi Yoshida, Sung-Kwan Kang, Balasubramanian Pranatharthiharan
-
Publication number: 20240363345Abstract: A method for manufacturing a memory device includes depositing a seed layer in a memory hole extending through a memory stack. The seed layer includes particles, such as silicon particles. The seed layer is etched to produce etched particles. The etched particles act as nuclei for the growth of a crystalline channel material in the memory hole.Type: ApplicationFiled: February 14, 2024Publication date: October 31, 2024Applicant: Applied Materials, Inc.Inventors: Chang Seok KANG, Raman GAIRE, Hsueh Chung CHEN, In Soo JUNG, Houssam LAZKANI, Balasubramanian PRANATHARTHIHARAN
-
Publication number: 20240347602Abstract: A three-dimensional semiconductor (3D) device. The 3D device may include a substrate, and a monocrystalline layer stack. The monocrystalline layer stack may include at least one monocrystalline semiconductor layer, separated from, and disposed over a main surface of the substrate. The 3D device may further include a plurality of epitaxial heterostructures, integrally grown from the at least one monocrystalline semiconductor layer. As such, a first epitaxial heterostructure may be disposed on a lower surface of the at least one monocrystalline semiconductor layer, facing the substrate, and wherein a second epitaxial heterostructure may be disposed on an upper surface of the monocrystalline semiconductor layer, opposite the lower surface.Type: ApplicationFiled: April 10, 2024Publication date: October 17, 2024Applicant: Applied Materials, Inc.Inventors: Fredrick FISHBURN, Balasubramanian PRANATHARTHIHARAN, Abhishek DUBE, Saurabh CHOPRA
-
Publication number: 20240341090Abstract: A semiconductor structure includes a first active region and a second active region on a substrate, a metal plug electrically connected to the first active region via a contact layer and an interface layer, a bit line electrically connected to the second active region via a bit line contact plug, and a bit line spacer encapsulating the bit line, wherein the first active region and the second active region are lightly n-type doped, the substrate is p-type doped, and the contact layer is epitaxially grown and n-type doped with a graded doping profile that increases from an interface with the first active region to an interface with the interface layer.Type: ApplicationFiled: March 18, 2024Publication date: October 10, 2024Inventors: Sony VARGHESE, Tong LIU, Zhijun CHEN, Balasubramanian PRANATHARTHIHARAN
-
Publication number: 20240332297Abstract: A semiconductor structure forming a complementary field-effect transistor (CFET) includes a metal gate, a bottom field effect transistor (FET) module, the bottom FET module including a plurality of channel layers extending through the metal gate in a first direction, and a bottom source/drain (S/D) contact electrically connected to the plurality of channel layers via a bottom epitaxial (epi) S/D and a bottom interface, and a top FET module stacked on the bottom FET module in a second direction that is orthogonal to the first direction, the top FET module including a plurality of channel layers extending through the metal gate in the first direction, and a top source/drain (S/D) contact electrically connected to the plurality of channel layers via a top epitaxial (epi) S/D and a top interface, wherein the bottom S/D contact and the top S/D contact each comprise cobalt (Co) or tungsten (W).Type: ApplicationFiled: March 4, 2024Publication date: October 3, 2024Inventors: Ashish PAL, El Mehdi BAZIZI, Balasubramanian PRANATHARTHIHARAN
-
Publication number: 20240332388Abstract: One or more embodiments of the disclosure are directed to methods of forming semiconductor devices, e.g., gate-all-around (GAA) transistors that are used in FEOL and/or BEOL processes. The processes described herein may be integrated and performed in any suitable cluster tool. Some embodiments of the disclosure are directed to cavity shaping processes. Further embodiments of the disclosure are directed to logic transistors with wrap-around backside source/drain contact.Type: ApplicationFiled: March 19, 2024Publication date: October 3, 2024Applicant: Applied Materials, Inc.Inventors: Byeong Chan Lee, Benjamin Colombeau, Nicolas Breil, Ashish Pal, El Mehdi Bazizi, Veeraraghavan S. Basker, Balasubramanian Pranatharthiharan, Pratik B. Vyas, Gregory Costrini
-
Publication number: 20240334683Abstract: Memory devices and methods of manufacturing memory devices are described herein. The memory devices include a bitline metal stack on a surface comprising a matrix of conductive bitline contacts (e.g., polysilicon) and insulating dielectric islands (e.g., silicon nitride (SiN)). The bitline metal stack comprises one or more of titanium (Ti), tungsten (W), tungsten nitride (WN), tungsten silicide (WS), or tungsten silicon nitride (WSiN). The memory devices include a bitline metal layer (e.g., tungsten (W)) on a top surface of the insulating dielectric islands and on the bitline metal stack.Type: ApplicationFiled: March 22, 2024Publication date: October 3, 2024Applicant: Applied Materials, Inc.Inventors: Tong Liu, Sony Varghese, Zhijun Chen, Balasubramanian Pranatharthiharan, Anand N. Iyer