Patents by Inventor Brent A. Anderson

Brent A. Anderson has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20230378258
    Abstract: A method including forming an oxide layer on a first substrate and forming a second substrate on the oxide layer. Doping a first section of the second substrate while not doping a second section of the second substrate. Forming a first nano device on the second section of the second substrate and forming a second nano device on first section of the second substrate. Flipping the first substrate over to allow for backside processing of the substrate and forming at least one backside contact connected to the first nano device while backside contacts are not formed or connected to the second nano device.
    Type: Application
    Filed: May 17, 2022
    Publication date: November 23, 2023
    Inventors: Ruilong Xie, Anthony I. Chou, Brent A. Anderson, John Christopher Arnold, Junli Wang, Kai Zhao, Terence Hook, Julien Frougier, Xuefeng Liu
  • Patent number: 11823998
    Abstract: Embodiments of the present invention are directed to fabrication methods and resulting interconnect structures having a conductive thin metal layer on a top via that promotes the selective growth of the next level interconnect lines (the line above). In a non-limiting embodiment of the invention, a first conductive line is formed in a dielectric layer. A via is formed on the first conductive line and a seed layer is formed on the via and the dielectric layer. A surface of the seed layer is exposed and a second conductive line is deposited onto the exposed surface of the seed layer. In a non-limiting embodiment of the invention, the second conductive line is selectively grown from the seed layer.
    Type: Grant
    Filed: September 15, 2021
    Date of Patent: November 21, 2023
    Assignee: International Business Machines Corporation
    Inventors: Brent Anderson, Lawrence A. Clevenger, Christopher J. Penny, Nicholas Anthony Lanzillo, Kisik Choi, Robert Robison
  • Publication number: 20230360971
    Abstract: Embodiments of present invention provide a transistor structure. The transistor structure includes a first and a second transistor in a first transistor layer; a first and a second transistor in a second transistor layer, respectively, above the first and the second transistor in the first transistor layer; a metal routing layer between the first transistor layer and the second transistor layer; a first local interconnect connecting the first transistor of the first transistor layer to the metal routing layer; and a second local interconnect connecting the metal routing layer to the second transistor of the second transistor layer. A method of manufacturing the transistor structure is also provided.
    Type: Application
    Filed: May 9, 2022
    Publication date: November 9, 2023
    Inventors: Heng Wu, Ruilong Xie, Albert M. Chu, Albert M. Young, Junli Wang, Brent A. Anderson
  • Patent number: 11804406
    Abstract: An interconnect structure including a top via with a minimum line end extension comprises a cut filled with an etch stop material. The interconnect structure further comprises a line formed adjacent to the etch stop material. The interconnect structure further comprises a top via formed on the line adjacent to the etch stop material, wherein the top via utilizes the etch stop material to achieve minimum line extension.
    Type: Grant
    Filed: July 23, 2021
    Date of Patent: October 31, 2023
    Assignee: International Business Machines Corporation
    Inventors: Christopher J. Penny, Brent Anderson, Lawrence A. Clevenger, Kisik Choi, Nicholas Anthony Lanzillo, Robert Robison
  • Publication number: 20230343821
    Abstract: A semiconductor device including a first pair of stacked transistors comprising a first upper transistor and a first lower transistor, a third transistor disposed adjacent to the first lower transistor, the third transistor comprising a gate portion extending from the third transistor gate toward the first pair of stacked transistors, a cross-connection disposed in contact with the gate portion and extending upward, and a gate contact disposed in contact with the cross-connection and a top surface of the first upper transistor.
    Type: Application
    Filed: April 25, 2022
    Publication date: October 26, 2023
    Inventors: Ruilong Xie, Heng Wu, Albert M. Young, Albert M Chu, Junli Wang, Brent A Anderson
  • Publication number: 20230335585
    Abstract: A semiconductor device including a first pair of stacked transistors having a first upper transistor and a first lower transistor, a second pair of stacked transistors comprising a second upper transistor and a second lower transistor, and a first cross-connection between the first upper transistor and the second lower transistor.
    Type: Application
    Filed: April 17, 2022
    Publication date: October 19, 2023
    Inventors: Ruilong Xie, Albert M. Chu, Albert M. Young, Anthony I. Chou, Junli Wang, Brent A. Anderson
  • Patent number: 11791258
    Abstract: Integrated chips include a dielectric layer that includes at least one trench and at least one plug region. A line is formed in the dielectric layer in the at least one trench and terminates at the plug region. A dielectric plug is formed in the plug region.
    Type: Grant
    Filed: February 24, 2022
    Date of Patent: October 17, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent Anderson, Lawrence A. Clevenger, Kisik Choi, Nicholas Anthony Lanzillo, Christopher J. Penny, Robert Robison
  • Publication number: 20230326854
    Abstract: Embodiments of present invention provide a semiconductor chip. The semiconductor chip includes a device layer having a first and a second circuit region; a frontside distribution network (FSDN) above the device layer and powering the first circuit region; and a backside distribution network (BSDN) below the device layer and powering the second circuit region, wherein the BSDN is electrically connected to the FSDN through the device layer and the FSDN is electrically connected to the first circuit region through one or more frontside metal layers, and wherein the BSDN is electrically connected to transistors of the second circuit region through the device layer.
    Type: Application
    Filed: April 8, 2022
    Publication date: October 12, 2023
    Inventors: Albert M. Chu, Brent A. Anderson, Junli Wang, John W. Golz, Nicholas Anthony Lanzillo, Lawrence A. Clevenger
  • Publication number: 20230317802
    Abstract: A high aspect ratio contact structure formed within a dielectric material includes a top portion and a bottom portion. The top portion of the contact structure includes a tapering profile towards the bottom portion. A first metal stack surrounded by an inner spacer is located within the top portion of the contact structure and a second metal stack is located within the bottom portion of the contact structure. A width of the bottom portion of the contact structure is greater than a minimum width of the top portion of the contact structure.
    Type: Application
    Filed: March 29, 2022
    Publication date: October 5, 2023
    Inventors: Junli Wang, Brent A Anderson, Terence Hook, Indira Seshadri, Albert M. Young, Stuart Sieg, Su Chen Fan, Shogo Mochizuki
  • Publication number: 20230320055
    Abstract: Embodiments disclosed herein include a semiconductor structure. The semiconductor structure may include a static random access memory (SRAM) cell. The SRAM cell may include a first section of the SRAM cell with a first pull-up transistor, first pull-down transistor, and first pass-gate transistor. The SRAM cell may include a second section of the SRAM cell with a second pull-up transistor, second pull-down transistor, and second pass-gate transistor. The first section of the SRAM cell and the second section of the SRAM cell may be arranged in a non-rectangular cell layout with the first pass-gate located at a first end of the non-rectangular cell layout and the second pass-gate at a second end of the non-rectangular cell layout opposite the first end.
    Type: Application
    Filed: March 31, 2022
    Publication date: October 5, 2023
    Inventors: Brent A Anderson, Albert M Chu, Junli Wang, Hemanth Jagannathan
  • Publication number: 20230307447
    Abstract: An approach forming semiconductor structure composed of one or more stacked semiconductor devices that include at least a top semiconductor device, a bottom semiconductor device under the top semiconductor, and contacts to each of the semiconductor devices. The approach provides a stacked semiconductor structure where the bottom semiconductor device is wider than the top semiconductor device. The approach also provides the stacked semiconductor structure where the width of the top semiconductor device is the same as the width of the bottom semiconductor device. The approach includes forming a contact to a side of the bottom semiconductor device when the width of the top semiconductor device is the same as the bottom semiconductor device. The approach includes forming a contact to epitaxy grown on a portion of the top and a side of the bottom semiconductor device when the bottom semiconductor device is larger than the top semiconductor device.
    Type: Application
    Filed: March 22, 2022
    Publication date: September 28, 2023
    Inventors: GEN TSUTSUI, Albert M. Young, Su Chen Fan, Junli Wang, Brent A. Anderson
  • Publication number: 20230307453
    Abstract: A semiconductor structure including a first logic cell having a first plurality of nanosheet devices along an axis and a second logic cell having a second plurality of nanosheet devices along the axis. Nanosheets of the second plurality of nanosheet devices are wider than nanosheets of the first plurality of nanosheet devices. The first logic cell is a same type as the second logic cell. The first and second logic cells can include inverter circuits or NAND circuits or NOR circuits. When the first logic cell has a height X, a width Y, and an effective width (Weff) Z, then the second logic cell has a height 2X, a width Y, and Weff>2.5 Z.
    Type: Application
    Filed: March 22, 2022
    Publication date: September 28, 2023
    Inventors: Brent A Anderson, Junli Wang, Albert Chu
  • Patent number: 11764298
    Abstract: A semiconductor device is provided. The semiconductor device includes a buried power rail, a buried oxide (BOX) layer formed on the buried power rail, a plurality of channel fins formed on the BOX layer, a bottom epitaxial layer formed on the BOX layer and between the channel fins such that the BOX layer is between the buried power rail and the bottom epitaxial layer, a gate stack formed over the bottom epitaxial layer and contacting the channel fins, the gate stack including a work function metal (WFM) layer and a high-? layer, and a top epitaxial layer formed on the gate stack. In the semiconductor device, between two adjacent ones of the channel fins the BOX layer has an opening so that the bottom epitaxial layer is electrically connected to the buried power rail.
    Type: Grant
    Filed: September 17, 2021
    Date of Patent: September 19, 2023
    Assignee: International Business Machines Corporation
    Inventors: Chen Zhang, Ruilong Xie, Heng Wu, Junli Wang, Brent Anderson
  • Publication number: 20230243478
    Abstract: A sound and illumination device including a sound-making portion, an illumination portion, and an attachment portion for mounting on a bicycle. The sound-making portion including a lever, a spring, a striking portion, and a metal portion, wherein the metal portion covers the lever, the spring, and the striking portion. The lever may include a magnet. The illumination portion including a cover portion, an electrical circuit, a switch, a light emitting source and a power source. Actuation of the lever of the sound-making portion results in the activation of the light emitting source of the illumination portion resulting in the concurrent emission of light and sound.
    Type: Application
    Filed: November 14, 2022
    Publication date: August 3, 2023
    Applicant: Brightz, Ltd.
    Inventor: Brent ANDERSON
  • Publication number: 20230223447
    Abstract: Methods for fabricating a semiconductor device are provided. The method can include forming a conductive material layer on a semiconductor device, the semiconductor device including at least two gate structures and at least two source/drain surfaces of at least two source/drain regions, wherein an interlevel dielectric layer separates each of the at least two gate structures from each of the at least two source/drain surfaces, wherein the conductive material layer extends through openings of the interlevel dielectric layer, contacting the at least two source/drain surfaces and forming at least two conductive material interconnects, and wherein the conductive material layer extends over the interlevel dielectric layer, forming an interconnect mask over portions of the conductive material layer, wherein the conductive material layer includes an up-via and forming an interconnect by subtractively etching a portion of the conductive material layer, exposed through the interconnect mask.
    Type: Application
    Filed: January 7, 2022
    Publication date: July 13, 2023
    Inventors: Lawrence A. Clevenger, Nicholas Anthony Lanzillo, Benjamin D. Briggs, Kisik Choi, Brent A. Anderson
  • Publication number: 20230215767
    Abstract: A semiconductor structure includes a field effect transistor (FET) including a first source-drain region, a second source-drain region, a gate between the first and second source-drain regions, and a channel region under the gate and between the first and second source-drain regions. Also included are a front side wiring network, having a plurality of front side wires, on a front side of the field effect transistor; a front side conductive path electrically interconnecting one of the front side wires with the first source-drain region; a back side power rail, on a back side of the FET; and a back side contact electrically interconnecting the back side power rail with the second source-drain region. A dielectric liner and back side dielectric fill are on a back side of the gate adjacent the back side contact, and they electrically confine the back side contact in a cross-gate direction.
    Type: Application
    Filed: December 30, 2021
    Publication date: July 6, 2023
    Inventors: Ruilong Xie, Kisik Choi, Brent A Anderson, Lawrence A. Clevenger, John Christopher Arnold
  • Publication number: 20230207697
    Abstract: A channel fin extends vertically above a bottom source/drain region, a protective liner is positioned along opposite sidewalls of the bottom source/drain region. The bottom source/drain region is positioned above a semiconductor layer in contact with a first portion of an inner spacer. A first metal layer is positioned between the first portion of the inner spacer and a second portion of the inner spacer, the first portion of the inner spacer partially covers a top surface of the first metal layer and the second portion of the inner spacer substantially covers a bottom surface of the first metal layer for providing a buried power rail. A shallow trench isolation region is positioned above an exposed portion of the first metal layer, the shallow trench isolation region is adjacent to the first portion of the inner spacer, the semiconductor layer, and the bottom source/drain region.
    Type: Application
    Filed: December 29, 2021
    Publication date: June 29, 2023
    Inventors: Ruilong Xie, Junli Wang, Brent A. Anderson, Chen Zhang, Heng Wu, Alexander Reznicek
  • Publication number: 20230207553
    Abstract: A device comprises a first interconnect structure, a second interconnect structure, a first cell comprising a first transistor, a second cell comprising a second transistor, a first contact connecting a source/drain element of the first transistor to the first interconnect structure, and second contact connecting a source/drain element of the second transistor to the second interconnect structure. The first cell is disposed adjacent to the second cell with the first transistor disposed adjacent to the second transistor. The first and second cells are disposed between the first and second interconnect structures.
    Type: Application
    Filed: December 27, 2021
    Publication date: June 29, 2023
    Inventors: Ruilong Xie, Kisik Choi, Somnath Ghosh, Sagarika Mukesh, Albert Chu, Albert M. Young, Balasubramanian S. Pranatharthiharan, Huiming Bu, Kai Zhao, John Christopher Arnold, Brent A. Anderson, Dechao Guo
  • Patent number: 11688775
    Abstract: A method of forming a semiconductor structure includes forming at least one fin disposed over a top surface of a substrate, the fin providing a vertical transport channel for a vertical transport field-effect transistor. The method also includes forming a top source/drain region disposed over a top surface of the fin, and forming a first contact trench at a first end of the fin and a second contact trench at a second end of the fin, the first and second contact trenches being self-aligned to the top source/drain region. The method further includes forming inner spacers on sidewalls of the first contact trench and the second contact trench, and forming contact material in the first contact trench and the second contact trench between the inner spacers. The contact material comprises a stressor material that induces vertical strain in the fin.
    Type: Grant
    Filed: August 13, 2018
    Date of Patent: June 27, 2023
    Assignee: International Business Machines Corporation
    Inventors: Juntao Li, Kangguo Cheng, Brent A. Anderson
  • Publication number: 20230187541
    Abstract: The embodiments herein describe a crossbar VFET where the crossbar channel (or fin) that extends between a pair of channels (fins) has reduced corner rounding, or no corner rounding. This can be achieved by developing a masking feature before etching the channels in the VFET that results in reduced, or no corner rounding in the channel structure etched using the masking feature.
    Type: Application
    Filed: December 15, 2021
    Publication date: June 15, 2023
    Inventors: Brent A. ANDERSON, Junli WANG, Indira SESHADRI, Ruilong XIE, Dechao GUO