Patents by Inventor Brent A. Anderson

Brent A. Anderson has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11658116
    Abstract: A semiconductor structure comprises a front-end-of-line region comprising two or more devices, a first back-end-of-line region on a first side of the front-end-of-line region, the first back-end-of-line region comprising a first set of interconnects for at least a first subset of the two or more devices in the front-end-of-line region, and a second back-end-of-line region on a second side of the front-end-of-line region opposite the first side of the front-end-of-line region, the second back-end-of-line region comprising a second set of interconnects for at least a second subset of the two or more devices in the front-end-of-line region. The semiconductor structure also comprises one or more passthrough vias disposed in the front-end-of-line region, each of the one or more passthrough vias connecting at least one of the first set of interconnects of the first back-end-of-line region to at least one of the second set of interconnects of the second back-end-of-line region.
    Type: Grant
    Filed: March 2, 2021
    Date of Patent: May 23, 2023
    Assignee: International Business Machines Corporation
    Inventors: Junli Wang, Albert Chu, Dechao Guo, Brent Anderson
  • Publication number: 20230142760
    Abstract: Embodiments of the invention are directed to a method of forming an integrated circuit (IC). The method includes performing fabrication operations that form the IC. The fabrication operations include forming a channel fin. A gate structure is formed along a sidewall surface of the channel fin. The gate structure includes a conductive gate having an L-shape profile, and the L-shape profile includes a conductive gate foot region. The conductive gate foot region is replaced with a dielectric foot region.
    Type: Application
    Filed: November 11, 2021
    Publication date: May 11, 2023
    Inventors: ChoongHyun Lee, Ardasheir Rahman, Xin Miao, Brent A. Anderson, Alexander Reznicek
  • Publication number: 20230139379
    Abstract: VFET devices having a robust gate extension structure using late gate extension patterning and self-aligned gate and source/drain region contacts are provided. In one aspect, a VFET device includes: at least one bottom source/drain region present on a substrate; at least one fin disposed on the at least one bottom source/drain region, wherein the at least one fin serves as a vertical fin channel of the VFET device; a gate stack alongside the at least one fin; a gate extension metal adjacent to the gate stack at a base of the at least one fin; a barrier layer that separates the gate extension metal from the gate stack; and at least one top source/drain region at a top of the at least one fin. A VFET device that includes multiple VFETs present on a substrate, and a method of forming a VFET device are also provided.
    Type: Application
    Filed: October 29, 2021
    Publication date: May 4, 2023
    Inventors: Ruilong Xie, Christopher J. Waskiewicz, Jay William Strane, Hemanth Jagannathan, Brent Anderson
  • Publication number: 20230132353
    Abstract: A semiconductor structure includes a power distribution network including a first buried power rail, a power wire, and a first buried via electrically interconnecting the first buried power rail and the power wire. Each of the first buried power rail, the power wire, and the first buried via have a liner on a corresponding bottom surface thereof and sidewalls thereof. The structure also includes a dielectric layer outward of the power distribution network; a first field effect transistor outward of the dielectric layer; a first via trench contact electrically interconnecting a source/drain region of the transistor to the first buried power rail; a first outer wire outward of the first field effect transistor; and an electrical path electrically interconnecting the first outer wire with the power wire.
    Type: Application
    Filed: October 26, 2021
    Publication date: April 27, 2023
    Inventors: Ruilong Xie, Balasubramanian Pranatharthiharan, Mukta Ghate Farooq, Brent Anderson
  • Publication number: 20230128985
    Abstract: A semiconductor structure and method of manufacturing a semiconductor structure having a front side and an opposing backside. An early power delivery network (EBPDN) of wires is built above a substrate layer. Buried power rails (BPRs) are built above levels of the PDN and connected to the EBPDN by short length via connections that can be self-aligned to the back side buried power rails. Both BPRs and vias connections have a common metallization. A front side level of transistor devices are built at the front side of the structure above the BPRs. The resulting formed buried power rail structure has an aspect ratio of height:width greater than 4:1, a height >3 times a height of the formed via structure; and a via structure having a length greater than a height of the formed conductive power rail structure.
    Type: Application
    Filed: October 22, 2021
    Publication date: April 27, 2023
    Inventors: Brent Anderson, Lawrence A. Clevenger, Christopher J. Penny, Nicholas Anthony Lanzillo
  • Publication number: 20230104456
    Abstract: An apparatus includes a fin, a gate, and a gate contact. A portion of the fin is disposed in a first layer. The gate is disposed in the first layer and adjacent to the fin. The gate contact is disposed on the gate and in a second layer, wherein the second layer is disposed on the first layer such that the gate contact is above the fin.
    Type: Application
    Filed: October 6, 2021
    Publication date: April 6, 2023
    Inventors: Brent ANDERSON, Junli WANG, Indira SESHADRI, Chen ZHANG, Ruilong XIE, Joshua M. RUBIN, Hemanth JAGANNATHAN
  • Publication number: 20230101678
    Abstract: Semiconductor integrated circuit devices are provided which have standard cells with ultra-short standard cell heights. For example, a device comprises an integrated circuit comprising a standard cell which comprises a first cell boundary and a second cell boundary. The standard cell comprises an n-track cell height defined by a distance between the first cell boundary and the second cell boundary, wherein n is four or less.
    Type: Application
    Filed: September 24, 2021
    Publication date: March 30, 2023
    Inventors: Albert Chu, Junli Wang, Brent Anderson
  • Publication number: 20230101235
    Abstract: A long channel field-effect transistor is incorporated in a semiconductor structure. A semiconductor fin forming a channel region is configured as a loop having an opening therein. A dielectric isolation region is within the opening. Source/drain regions epitaxially grown on fin end portions within the opening are electrically isolated by the isolation region. The source/drain regions, the isolation region and the channel are arranged as a closed loop. The semiconductor structure may further include a short channel, vertical transport field-effect transistor.
    Type: Application
    Filed: September 28, 2021
    Publication date: March 30, 2023
    Inventors: Ruilong Xie, Ardasheir Rahman, HEMANTH JAGANNATHAN, Robert ROBISON, Brent Anderson, Heng Wu
  • Publication number: 20230094757
    Abstract: An approach providing a semiconductor wiring structure with a self-aligned top via on a first metal line and under a second metal line. The semiconductor wiring structure includes a plurality of first metal lines in a bottom portion of a first dielectric material. The semiconductor wiring structure includes a top via in a top portion of the first dielectric material, where the top via is over a first metal line of the plurality of first metal lines. The semiconductor wiring structure includes a second dielectric material above each of the plurality of first metal lines except the first metal line of the plurality of first metal lines. Furthermore, the semiconductor wiring structure includes a second metal line above the top via, wherein the second metal line is in a third dielectric material and a hardmask layer that is under the third dielectric material.
    Type: Application
    Filed: September 22, 2021
    Publication date: March 30, 2023
    Inventors: Lawrence A. Clevenger, Brent Anderson, Nicholas Anthony Lanzillo, Christopher J. Penny, Kisik Choi, Robert ROBISON
  • Publication number: 20230093101
    Abstract: A semiconductor device includes a dielectric isolation layer, a plurality of gates formed above the dielectric isolation layer, a plurality of source/drain regions above the dielectric isolation layer between the plurality of gates, and at least one contact placeholder for a backside contact. The at least one contact placeholder contacts a bottom surface of a first source/drain region of the plurality of source/drain regions. The semiconductor device further includes at least one backside contact contacting a bottom surface of a second source/drain region of the plurality of source/drain regions, and a buried power rail arranged beneath, and contacting the at least one backside contact.
    Type: Application
    Filed: September 22, 2021
    Publication date: March 23, 2023
    Inventors: Ruilong XIE, Brent ANDERSON, Albert M. YOUNG, Kangguo CHENG, Julien FROUGIER, Balasubramanian PRANATHARTHIHARAN, Roy R. YU, Takeshi NOGAMI
  • Publication number: 20230088855
    Abstract: Embodiments of the present invention are directed to fabrication methods and resulting structures that provide buried contacts in the fin-to-fin space of vertical transport field effect transistors (VFETs) that connect the bottom S/D of the transistors to a buried power rail. In a non-limiting embodiment of the invention, a buried power rail is encapsulated in a buried oxide layer of a first wafer. First and second semiconductor fins are formed on a second wafer. The first wafer to the second wafer and a surface of the buried power rail in a fin-to-fin space is exposed. A buried via is formed on the exposed surface of the buried power rail. The buried via electrically couples the buried power rail to a bottom source or drain region of the first semiconductor fin.
    Type: Application
    Filed: September 23, 2021
    Publication date: March 23, 2023
    Inventors: Junli Wang, Brent Anderson
  • Publication number: 20230085838
    Abstract: A semiconductor device is provided. The semiconductor device includes a buried power rail, a buried oxide (BOX) layer formed on the buried power rail, a plurality of channel fins formed on the BOX layer, a bottom epitaxial layer formed on the BOX layer and between the channel fins such that the BOX layer is between the buried power rail and the bottom epitaxial layer, a gate stack formed over the bottom epitaxial layer and contacting the channel fins, the gate stack including a work function metal (WFM) layer and a high-? layer, and a top epitaxial layer formed on the gate stack. In the semiconductor device, between two adjacent ones of the channel fins the BOX layer has an opening so that the bottom epitaxial layer is electrically connected to the buried power rail.
    Type: Application
    Filed: September 17, 2021
    Publication date: March 23, 2023
    Inventors: Chen Zhang, Ruilong Xie, Heng Wu, Junli Wang, Brent Anderson
  • Publication number: 20230071016
    Abstract: Currently disclosed is a device for increasing visibility of a guy line at night. The device includes a housing, a light emitting source, a power source, and an on/off switch. The housing having an internal portion, external portion, and an attachment mechanism located on the external portion of the housing locking in place the housing to the guy line. The light emitting source is located within the housing and powered by the power source. The on/off switch is in contact with the power source providing readily activation of the light emitting source. The attachment mechanism may comprise at least one hook portion and a tensioning mechanism. The tensioning mechanism may comprise at least one offset portion. The tensioning mechanism prevents the device from sliding down the guy line.
    Type: Application
    Filed: November 16, 2022
    Publication date: March 9, 2023
    Applicant: Brightz, Ltd.
    Inventors: Brent ANDERSON, Ronald FINCH
  • Publication number: 20230066614
    Abstract: An approach to provide a semiconductor structure using different two metal materials for interconnects in the middle of the line and the back end of the line metal layers of a semiconductor chip. The semiconductor structure includes the first metal material connecting both horizontally and vertically with the second metal material and the second metal material connecting both horizontally and vertically with the first metal material where the second metal material is more resistant to electromigration than the first metal material.
    Type: Application
    Filed: August 26, 2021
    Publication date: March 2, 2023
    Inventors: Lawrence A. Clevenger, Kisik Choi, Nicholas Anthony Lanzillo, Brent Anderson
  • Publication number: 20230063973
    Abstract: An apparatus comprising a plurality of FET columns located on a substrate. A source/drain layer located around the base of the plurality of FET columns. A dielectric layer located around the source/drain layer, wherein a portion of the dielectric layer that is sandwiched between a first portion of the source/drain layer and a second portion of the source/drain layer. A gate layer, wherein the gate layer has a first portion located on top of the source/drain layer, and wherein the gate layer has a second portion located on top of the portion of the dielectric layer that is sandwiched between a first portion of the source/drain layer and a second portion of the source/drain layer.
    Type: Application
    Filed: September 1, 2021
    Publication date: March 2, 2023
    Inventors: Ruilong Xie, Chen Zhang, Brent Anderson, Robert Robison, Ardasheir Rahman, Hemanth Jagannathan
  • Publication number: 20230064608
    Abstract: A semiconductor device containing a self-aligned contact rail is provided. The self-aligned contact rail can have a reduced critical dimension, CD. The self-aligned contact rail can be obtained utilizing a sacrificial semiconductor fin as a placeholder structure for the contact rail. The used of the sacrificial semiconductor fin enables reduced, and more controllable, CDs.
    Type: Application
    Filed: September 2, 2021
    Publication date: March 2, 2023
    Inventors: Yann Mignot, Christopher J. Waskiewicz, Su Chen Fan, Brent Anderson, Junli Wang
  • Publication number: 20230024306
    Abstract: An interconnect structure including a top via with a minimum line end extension comprises a cut filled with an etch stop material. The interconnect structure further comprises a line formed adjacent to the etch stop material. The interconnect structure further comprises a top via formed on the line adjacent to the etch stop material, wherein the top via utilizes the etch stop material to achieve minimum line extension.
    Type: Application
    Filed: July 23, 2021
    Publication date: January 26, 2023
    Inventors: Christopher J. Penny, Brent Anderson, Lawrence A. Clevenger, Kisik Choi, Nicholas Anthony Lanzillo, Robert ROBISON
  • Publication number: 20230022802
    Abstract: A semiconductor structure includes a power rail contact at least partially disposed between a first source/drain region of a first vertical fin structure and a second source/drain region of a second vertical fin structure. The power rail contact is in contact with a buried power rail disposed under the first and second vertical fin structures. The power rail contact is in contact with at least one of the first and second source/drain regions. A contact cap is disposed above the power rail contact.
    Type: Application
    Filed: July 26, 2021
    Publication date: January 26, 2023
    Inventors: Junli Wang, Ruilong Xie, Brent Anderson, Chen Zhang, Heng Wu
  • Patent number: 11563003
    Abstract: A method comprising forming at least one fin on a substrate, wherein the at least one fin has a first section and a second section. Forming a separating layer on the substrate to isolate the second section of the fin from the first section of the fin. Forming as first set of electrical components on the first section of the at least one fin. Flipping the substrate over and removing the substrate to expose a surface of the second section of the at least one fin. Removing a portion of the second section of the at least one fin, whereby removing a portion of the second section a trench is created between sections of the separating layer and an exposed portion of the at least one fin and forming a hard mask in the trench.
    Type: Grant
    Filed: January 20, 2021
    Date of Patent: January 24, 2023
    Assignee: International Business Machines Corporation
    Inventors: Chen Zhang, Tenko Yamashita, Joshua M. Rubin, Brent Anderson
  • Publication number: 20230016977
    Abstract: Embodiments disclosed herein describe semiconductor devices that include semiconductor structures and methods of forming the semiconductor structures. The semiconductor structures may include an upper conductive line, a first lower conductive line laterally insulated by a first lower dielectric region and a second lower dielectric region. The semiconductor structure also includes a lower level via region above the first lower conductive line. The lower level via region includes a dielectric blocking material and a spacer material.
    Type: Application
    Filed: July 19, 2021
    Publication date: January 19, 2023
    Inventors: Lawrence A. Clevenger, Brent Anderson, Nicholas Anthony Lanzillo