Patents by Inventor J. Jordan

J. Jordan has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 7861063
    Abstract: In one embodiment, a processor comprises a fetch unit and a pick unit. The fetch unit is configured to fetch instructions for execution by the processor. The pick unit is configured to schedule instructions fetched by the fetch unit for execution in the processor. The pick unit is configured to inhibit scheduling a delayed control transfer instruction (DCTI) until a delay slot instruction of the DCTI is available for scheduling. For example, in some embodiments, the pick unit may inhibit scheduling until the delay slot instruction is written to an instruction buffer, until the delay slot instruction is fetched, etc.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: December 28, 2010
    Assignee: Oracle America, Inc.
    Inventors: Robert T. Golla, Paul J. Jordan, Jama I. Barreh
  • Publication number: 20100325188
    Abstract: A processor including instruction support for implementing large-operand multiplication may issue, for execution, programmer-selectable instructions from a defined instruction set architecture (ISA). The processor may include an instruction execution unit comprising a hardware multiplier datapath circuit, where the hardware multiplier datapath circuit is configured to multiply operands having a maximum number of bits M.
    Type: Application
    Filed: June 19, 2009
    Publication date: December 23, 2010
    Inventors: Christopher H. Olson, Jeffrey S. Brooks, Robert T. Golla, Paul J. Jordan
  • Publication number: 20100274994
    Abstract: Various techniques for mitigating dependencies between groups of instructions are disclosed. In one embodiment, such dependencies include “evil twin” conditions, in which a first floating-point instruction has as a destination a first portion of a logical floating-point register (e.g., a single-precision write), and in which a second, subsequent floating-point instruction has as a source the first portion and a second portion of the same logical floating-point register (e.g., a double-precision read). The disclosed techniques may be applicable in a multithreaded processor implementing register renaming. In one embodiment, a processor may enter an operating mode in which detection of evil twin “producers” (e.g., single-precision writes) causes the instruction sequence to be modified to break potential dependencies. Modification of the instruction sequence may continue until one or more exit criteria are reached (e.g., committing a predetermined number of single-precision writes).
    Type: Application
    Filed: April 22, 2009
    Publication date: October 28, 2010
    Inventors: Robert T. Golla, Paul J. Jordan, Jama I. Barreh, Matthew B. Smittel, Yuan C. Chou, Jared C. Smolens
  • Publication number: 20100268920
    Abstract: A mechanism for handling unfused multiply-add accrued exception bits includes a processor including a floating point unit, a storage, and exception logic. The floating-point unit may be configured to execute an unfused multiply-accumulate instruction defined with the instruction set architecture (ISA). The unfused multiply-accumulate instruction may include a multiply sub-operation and an accumulate sub-operation. The storage may be configured to maintain floating-point exception state information. The exception logic may be configured to capture the floating-point exception state after completion of the multiply sub-operation and prior to completion of the accumulate sub-operation, for example, and to update the storage to reflect the floating-point exception state.
    Type: Application
    Filed: April 16, 2009
    Publication date: October 21, 2010
    Inventors: Jeffrey S. Brooks, Paul J. Jordan, Christopher H. Olson
  • Publication number: 20100257983
    Abstract: A method and apparatus for rapidly severing a decoy towline extending from an aircraft by a mechanical spring actuated cutting blade and a thermal fuse type of release mechanism eliminating a pyrotechnic actuation device. The cutting blade is biased toward cutting engagement with the towline by a preloaded coil compression spring retained in the loaded position by a length of a polymer cord. The polymer cord has a heater wire wrapped about a portion of the cord which is connected to a DC voltage supply. The heater wire melts the cords when electrically connected to the DC power supply which releases the restraint on the spring which then drives the cutting blade into severing engagement with the towline.
    Type: Application
    Filed: April 10, 2009
    Publication date: October 14, 2010
    Applicant: BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC.
    Inventors: James J. Jordan, Daniel J. Murphy, Douglas A. Fisher, JR., Tyler Forbes
  • Patent number: 7779238
    Abstract: A system and method for precisely identifying an instruction causing a performance-related event is disclosed. The instruction may be detected while in a pipeline stage of a microprocessor preceding a writeback stage and the microprocessor's architectural state may not be updated until after information identifying the instruction is captured. The instruction may be flushed from the pipeline, along with other instructions from the same thread. A hardware trap may be taken when the instruction is detected and/or when an event counter overflows or is within a given range of overflowing. A software trap handler may capture and/or log information identifying the instruction, such as one or more extended address elements, before returning control and initiating a retry of the instruction. The captured and/or logged information may be stored in an event space database usable by a data space profiler to identify performance bottlenecks in the application containing the instruction.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: August 17, 2010
    Assignee: Oracle America, Inc.
    Inventors: Nicolai Kosche, Gregory F. Grohoski, Paul J. Jordan
  • Publication number: 20100169611
    Abstract: A system and method for reducing branch misprediction penalty. In response to detecting a mispredicted branch instruction, circuitry within a microprocessor identifies a predetermined condition prior to retirement of the branch instruction. Upon identifying this condition, the entire corresponding pipeline is flushed prior to retirement of the branch instruction, and instruction fetch is started at a corresponding address of an oldest instruction in the pipeline immediately prior to the flushing of the pipeline. The correct outcome is stored prior to the pipeline flush. In order to distinguish the mispredicted branch from other instructions, identification information may be stored alongside the correct outcome. One example of the predetermined condition being satisfied is in response to a timer reaching a predetermined threshold value, wherein the timer begins incrementing in response to the mispredicted branch detection and resets at retirement of the mispredicted branch.
    Type: Application
    Filed: December 30, 2008
    Publication date: July 1, 2010
    Inventors: Yuan C. Chou, Robert T. Golla, Mark A. Luttrell, Paul J. Jordan, Manish Shah
  • Patent number: 7722769
    Abstract: This invention is directed to a wastewater treatment system having a fluidizable media carrying anoxic bacteria in a first treatment zone and a filter membrane positioned in a second treatment zone. A wastewater is contacted with the fluidizable media and further contacted with air and a filter membrane.
    Type: Grant
    Filed: May 9, 2008
    Date of Patent: May 25, 2010
    Assignee: Siemens Water Technologies Corp.
    Inventors: Edward J. Jordan, Wenjun Liu
  • Patent number: 7718057
    Abstract: This invention is directed to a wastewater treatment system having a fluidizable media carrying anoxic bacteria in a first treatment zone and a filter membrane positioned in a second treatment zone. A wastewater is contacted with the fluidizable media and further contacted with air and a filter membrane.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: May 18, 2010
    Assignee: Siemens Water Technologies Corp.
    Inventors: Edward J. Jordan, Wenjun Liu
  • Publication number: 20100108670
    Abstract: A container (210) for holding granular or powdered material and formed by a top wall (212), a bottom wall (214), a front wall (216), a rear wall (218), a first side wall (220), and a second side wall (222). A rotatably removable lid (D) is interiorly mounted with a scoop (32) and is pivotally hinged to a collar (300) that includes a sealing gasket (330). The collar (300) mounts to the walls of the container (210). A sealing wall 240 of the lid (D) cooperates with the gasket 300 to prevent the contents from spilling. The container (210) incorporates powder control features, a container wall junction (50) preferred geometry and congruent scoop (32) enabling convenient access to the contents, a tolerance variation accommodating and strength improving, J-shaped collar (300) and interlocking indentations (290) and flex clips (310), and a pressure controlling portion (350) that prevents unwanted deformation due to pressure differentials.
    Type: Application
    Filed: December 26, 2007
    Publication date: May 6, 2010
    Applicant: ABBOTT LABORATORIES
    Inventors: James P. Perry, Craig A. McCardell, Jeremy McBroom, David Compeau, Ashley A. Gohlke, William J. Hook, Kartherine J. Jordan, Frank S. Walczak, Peter B. Clarke, J. Kevin Clay, Richard C. Darr, Jack E. Elder, Marc A. Pedmo, Charles R. Schotthoefer
  • Patent number: 7702887
    Abstract: A method and mechanism for monitoring events in a processing system. A performance monitoring mechanism includes is configured to store a count of events in an event counter. Periodically, the count stored in the event counter is updated to a new count. If the new count equals a predetermined value, an indication that the count equals the predetermined value is conveyed. If the new count does not equal the predetermined value, but is within a given epsilon of the predetermined value and the occurrence of a corresponding event is detected, an indication that the count equals the predetermined value is conveyed. The mechanism is further configured to suppress event counts which correspond to mis-speculations.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: April 20, 2010
    Assignee: Sun Microsystems, Inc.
    Inventors: Gregory F. Grohoski, Paul J. Jordan, Yue Chang
  • Patent number: 7676655
    Abstract: A method and mechanism for controlling threads in a multithreaded multicore processor. A processor includes multiple cores, each of which are capable of executing multiple threads. A control register which is shared by each of the cores is utilized to control the status of the threads in the processing system. In one embodiment, the shared register includes a single bit for each thread in the processor. Depending upon the value written to a bit of the shared register, one of three results may occur with respect to a thread which corresponds to the bit. In one embodiment, writing a “0” to a bit of the shared register will cause a corresponding thread to be Parked. Writing a “1” to a bit of the shared register will cause a corresponding thread to either be UnParked or be Reset. Whether writing a “1” to a bit of the register causes the corresponding thread to be UnParked or Reset depends upon a state of the processor.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: March 9, 2010
    Assignee: Sun Microsystems, Inc.
    Inventor: Paul J. Jordan
  • Patent number: 7655144
    Abstract: A wastewater treatment system for treating wastewater by processes which include aeration. The system includes an influent conduit for delivery of wastewater to be processed and a treatment tank for processing of the wastewater. Also included is an air conduit for providing compressed air for aeration of the wastewater and a particle size regulation device disposed between the conduit and the tank, wherein the particle size regulation device regulates a size of particles present in the wastewater to provide particle size regulated wastewater. The system also includes at least one aeration jet having a nozzle diameter sized to allow the particle size regulated wastewater to pass through the nozzle.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: February 2, 2010
    Assignee: Siemens Water Technologies Corp.
    Inventors: Edward J. Jordan, James E. Augustyn
  • Publication number: 20090327646
    Abstract: In one embodiment, a system comprises one or more registers configured to store a plurality of values that identify a virtual address space (collectively a tag), a translation lookaside buffer (TLB), and a control unit coupled to the TLB and the one or more registers. The control unit is configured to detect whether or not the tag has changed and in response to a change in the tag, map the changed tag to an identifier having fewer bits than the total number of bits in the tag, and provide the current identifier to the TLB. The TLB is configured to detect a hit/miss in response to the identifier. A similar method is also contemplated.
    Type: Application
    Filed: April 30, 2008
    Publication date: December 31, 2009
    Inventors: Paul J. Jordan, Manish K. Shah, Gregory F. Grohoski
  • Publication number: 20090327935
    Abstract: A creation, editing, and display tool for complex diagrams may enable portions of the diagram to be updated without having to update the entire diagram. The tool may use a set of rules that define the positioning and layout of various elements and relationships between elements in the diagram. The update mechanism may enable the rules to be applied to a subset of the diagram and may not update some portions of the diagram, such as those elements outside the viewing area. In some embodiments, a background or low priority process may apply the rules to those portions not updated. The tool may be used for various diagrams, including sequence diagrams and other complex, highly restrained diagram types.
    Type: Application
    Filed: June 27, 2008
    Publication date: December 31, 2009
    Applicant: Microsoft Corporation
    Inventors: Suhail Dutta, Pedro Silva, John J. Jordan
  • Patent number: 7600977
    Abstract: A method of manufacturing a turbine blade includes (a) supporting a turbine blade internal core support at opposite ends such that radially inner and outer ends of the turbine blade remain open during casting; (b) casting the blade including the airfoil portion, wherein the radially outer edge of the airfoil portion is inclined relative to horizontal; (c) machining the radially outer edge of the airfoil portion to form a peripheral shoulder about a radially outer opening in the airfoil portion; and (d) seating a tip cap on the peripheral shoulder and fixing the tip cap to the airfoil portion of the blade.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: October 13, 2009
    Assignee: General Electric Company
    Inventors: Simon Shadbolt, Nick Podmore, Stephen J. Jordan, Kahwai G. Muriithi, Anthony C. Thermos, Joseph A. Weber
  • Patent number: 7591229
    Abstract: A spar for a sailing vessel comprises an elongated member which projects upwardly at an oblique angle from the centerline of the vessel deck and defines a longitudinal axis of rotation. The elongated member has a longitudinal slot for mounting the jib. The elongated member has a longitudinally extending aerodynamic surface defined by a quasi-elliptical shape with identical starboard tack and port tack leading edges symmetric about a plane through the rotational axis and the slot.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: September 22, 2009
    Inventor: Donald J. Jordan
  • Publication number: 20090233536
    Abstract: A downdraft exhaust cutting and shuttle table mechanism includes a cutting area and a loading area, which includes first and second elongated, horizontally disposed cutting tables which are shuttled into and out of a cutting area which includes a material cutting assembly positioned therein, the shuttle table mechanism including horizontal and vertical table movement devices which are operative to move the first and second cutting tables forwards and backwards between the cutting area and a loading area generally adjacent thereto and a vertical table movement device operative to move the first and second cutting tables upwards and downwards, the vertical and horizontal table movement devices cooperating to move each of the first and second cutting tables from a loading position generally horizontally forwards into the cutting area for cutting of material mounted thereon then generally horizontally backwards into the loading area to a position generally underneath the other of the cutting tables which has been
    Type: Application
    Filed: March 14, 2008
    Publication date: September 17, 2009
    Inventors: Craig A. Crees, Michael J. Jordan, Larry L. Minter
  • Publication number: 20090234583
    Abstract: A lightning detection system (100) includes at least one lightning detector (101-103). The said lightning detector (101-103) includes at least one x-ray detector (110) for providing x-ray data responsive to x-rays received, and an electric field or magnetic field detector (115) for providing electric field or magnetic field data responsive to electric field or magnetic field received. At least one signal processor (122) is coupled to the x-ray detector and the electric field or magnetic field detector. The processor (122) determines whether lightning will strike or has struck proximate to the lightning detector(s) (101-103) based on the x-ray data and electric field or magnetic field data, and can provide a strike point indication having an accuracy of generally better than (100) m.
    Type: Application
    Filed: December 12, 2005
    Publication date: September 17, 2009
    Inventors: Joseph R. Dwyer, Martin A. Uman, Hamid K. Rassoul, Jason Jerauld, Douglas j. Jordan, Keith J. Rambo, V.A. Rakov
  • Patent number: D605041
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: December 1, 2009
    Assignee: Abbott Laboratories, Inc.
    Inventors: James P. Perry, Ashley A. Gohlke, William J. Hook, Katherine J. Jordan, Frank S. Walczak, Peter B. Clarke, J. Kevin Clay