Patents by Inventor J. Jordan

J. Jordan has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20120067539
    Abstract: An investment casting system includes a computer controlled mold transfer device movable between at least three stations, including a mold receiving station. A mold transfer station includes a mold suspended from a first horizontally extending arm of the mold transfer device engaging an intermediate transfer device to transfer the mold to the intermediate transfer device. A storage station has a storage rack receiving the mold following a material coating phase. A robot in communication with the intermediate transfer device is programmed to position the mold in any of multiple material coating stations during the material coating phase. The mold is accessible for removal from the system at any stage of completion by direction of a computer control system.
    Type: Application
    Filed: September 16, 2010
    Publication date: March 22, 2012
    Applicant: ANDERSEN & ASSOCIATES
    Inventors: Anthony F. Morgott, Mark J. Jordan
  • Publication number: 20120060057
    Abstract: In one embodiment, a processor comprises a first register file configured to store speculative register state, a second register file configured to store committed register state, a check circuit and a control unit. The first register file is protected by a first error protection scheme and the second register file is protected by a second error protection scheme. A check circuit is coupled to receive a value and corresponding one or more check bits read from the first register file to be committed to the second register file in response to the processor selecting a first instruction to be committed. The check circuit is configured to detect an error in the value responsive to the value and the check bits. Coupled to the check circuit, the control unit is configured to cause reexecution of the first instruction responsive to the error detected by the check circuit.
    Type: Application
    Filed: November 14, 2011
    Publication date: March 8, 2012
    Inventors: Paul J. Jordan, Christopher H. Olson
  • Patent number: 8123223
    Abstract: An inserter device for a document printer and inserter system includes a first container sensor. A belt mechanism includes a flexible delivery belt receiving a printed document from a document printer. A motor rotates the delivery belt in response to an actuation signal from the first container sensor indicating the presence of a container at a document loading position proximate the delivery belt. A support shaft is rotatably connected to a support frame. The belt mechanism is fixed to the support shaft. The support shaft is rotated to achieve a desired belt drive angle and fixed to the support frame to maintain the belt drive angle. A rigid document diverter proximate the delivery belt deflects a document discharged from the delivery belt at the belt drive angle into the container. First and second belt tensioning assemblies are individually positioned in either a belt mechanism drive box or idler box.
    Type: Grant
    Filed: October 4, 2010
    Date of Patent: February 28, 2012
    Assignee: Andersen & Associates
    Inventors: Anthony F. Morgott, Mark J. Jordan
  • Patent number: 8112151
    Abstract: An exemplary device includes a processor, one or more communication interfaces, memory and one or more modules stored in the memory that comprise processor executable instructions to receive data from an implantable device via at least one of the one or more communication interfaces, to interrogate the data for one or more particular types of data, to process one or more particular types of data and to transmit information via at least one of the one or more communication interfaces. Various other exemplary devices, methods, systems, etc., are also disclosed.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: February 7, 2012
    Assignee: Pacesetter, Inc.
    Inventors: Donald S. Cogan, Ashok Kaul, George L. Walls, Eliot L. Ostrow, Edward M. Geiselhart, Matthew J. Jordan, Bari Wieselman Schulman, Scott Alan Godoy, John S. White, Christopher Barry Houghton
  • Patent number: 8099586
    Abstract: A system and method for reducing branch misprediction penalty. In response to detecting a mispredicted branch instruction, circuitry within a microprocessor identifies a predetermined condition prior to retirement of the branch instruction. Upon identifying this condition, the entire corresponding pipeline is flushed prior to retirement of the branch instruction, and instruction fetch is started at a corresponding address of an oldest instruction in the pipeline immediately prior to the flushing of the pipeline. The correct outcome is stored prior to the pipeline flush. In order to distinguish the mispredicted branch from other instructions, identification information may be stored alongside the correct outcome. One example of the predetermined condition being satisfied is in response to a timer reaching a predetermined threshold value, wherein the timer begins incrementing in response to the mispredicted branch detection and resets at retirement of the mispredicted branch.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: January 17, 2012
    Assignee: Oracle America, Inc.
    Inventors: Yuan C. Chou, Robert T. Golla, Mark A. Luttrell, Paul J. Jordan, Manish Shah
  • Patent number: 8078942
    Abstract: In one embodiment, a processor comprises a first register file configured to store speculative register state, a second register file configured to store committed register state, a check circuit and a control unit. The first register file is protected by a first error protection scheme and the second register file is protected by a second error protection scheme. A check circuit is coupled to receive a value and corresponding one or more check bits read from the first register file to be committed to the second register file in response to the processor selecting a first instruction to be committed. The check circuit is configured to detect an error in the value responsive to the value and the check bits. Coupled to the check circuit, the control unit is configured to cause reexecution of the first instruction responsive to the error detected by the check circuit.
    Type: Grant
    Filed: September 4, 2007
    Date of Patent: December 13, 2011
    Assignee: Oracle America, Inc.
    Inventors: Paul J. Jordan, Christopher H. Olson
  • Publication number: 20110296142
    Abstract: A processor including instruction support for large-operand instructions that use multiple register windows may issue, for execution, programmer-selectable instructions from a defined instruction set architecture (ISA). The processor may also include an instruction execution unit that, during operation, receives instructions for execution from the instruction fetch unit and executes a large-operand instruction defined within the ISA, where execution of the large-operand instruction is dependent upon a plurality of registers arranged within a plurality of register windows. The processor may further include control circuitry (which may be included within the fetch unit, the execution unit, or elsewhere within the processor) that determines whether one or more of the register windows depended upon by the large-operand instruction are not present. In response to determining that one or more of these register windows are not present, the control circuitry causes them to be restored.
    Type: Application
    Filed: May 28, 2010
    Publication date: December 1, 2011
    Inventors: Christopher H. Olson, Paul J. Jordan, Jama I. Barreh
  • Patent number: 8046952
    Abstract: In a fishing rod having a hand grip and a reel clamped to and held above the rod, the improvement wherein there is provided between the rod and the clamp on the underside of the rod a unitary piece having an elongate central section free of lateral protuberances which is laterally curved to essentially match the curvature of the fishing rod, aligned with the elongate central section at one end and integral therewith a curved finger grip terminating in a free distal end, and also aligned with the elongate central section at its opposite end and integral therewith a projection having an opening therein adapted to receive the hook portion of fishing hook.
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: November 1, 2011
    Inventors: Darrell R. Hillhouse, John J. Jordan
  • Publication number: 20110259715
    Abstract: An automatic delivery system includes a conveyor including powered first rollers arranged in parallel with each other defining a spacing between sequential ones of the first rollers. Fixed second rollers or fixed members are each connected to and coaxially aligned with individual ones of the first rollers and sequentially separated by the first roller spacing. The second rollers are divisible into at least two groups, each defining a loading station. Each of the loading stations has a station positioning device including at least one extension rod extending through and horizontally displaceable within the spacing between sequential ones of the first and second rollers. The station positioning device displaces a container received on the powered first rollers into and out of the loading station. A computer controlling the system and the station positioning device includes data for components inserted into the container at the loading station of each group.
    Type: Application
    Filed: April 23, 2010
    Publication date: October 27, 2011
    Applicant: ANDERSEN & ASSOCIATES
    Inventors: Anthony F. Morgott, Mark J. Jordan
  • Publication number: 20110191625
    Abstract: A coupling facility is coupled to one or more other coupling facilities via one or more peer links. The coupling of the facilities enables various functions to be supported, including the duplexing of structures of the coupling facilities. Duplexing is performed on a structure basis, and thus, a coupling facility may include duplexed structures, as well as non-duplexed or simplexed structures.
    Type: Application
    Filed: April 13, 2011
    Publication date: August 4, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David A. Elko, Steven N. Goss, Michael J. Jordan, Georgette L. Kurdt, Jeffrey M. Nick, Kelly B. Pushong, David H. Surman
  • Publication number: 20110186570
    Abstract: A container (210) for holding granular or powdered material and formed by a top wall (212), a bottom wall (214), a front wall (216), a rear wall (218), a first side wall (220), and a second side wall (222). A rotatably removable lid (D) is interiorly mounted with a scoop (32) and is pivotally hinged to a collar (300) that includes a sealing gasket (330). The collar (300) mounts to the walls of the container (210). A sealing wall 240 of the lid (D) cooperates with the gasket 300 to prevent the contents from spilling. The container (210) incorporates powder control features, a container wall junction (50) preferred geometry and congruent scoop (32) enabling convenient access to the contents, a tolerance variation accommodating and strength improving, J-shaped collar (300) and interlocking indentations (290) and flex clips (310), and a pressure controlling portion (350) that prevents unwanted deformation due to pressure differentials.
    Type: Application
    Filed: April 8, 2011
    Publication date: August 4, 2011
    Applicant: ABBOTT LABORATORIES
    Inventors: James P. Perry, Craig A. McCardell, Jeremy J. McBroom, David E. Compeau, Ashley A. Gohlke, William J. Hook, Katherine J. Jordan, Frank S. Walczak, Peter B. Clarke, J. Kevin Clay, Richard C. Darr, Jack E. Elder, Marc A. Pedmo, Charles R. Schotthoefer
  • Patent number: 7985369
    Abstract: A downdraft exhaust cutting and shuttle table mechanism includes a cutting area and a loading area, which includes first and second elongated, horizontally disposed cutting tables which are shuttled into and out of a cutting area which includes a material cutting assembly positioned therein, the shuttle table mechanism including horizontal and vertical table movement devices which are operative to move the first and second cutting tables forwards and backwards between the cutting area and a loading area generally adjacent thereto and a vertical table movement device operative to move the first and second cutting tables upwards and downwards, the vertical and horizontal table movement devices cooperating to move each of the first and second cutting tables from a loading position generally horizontally forwards into the cutting area for cutting of material mounted thereon then generally horizontally backwards into the loading area to a position generally underneath the other of the cutting tables which has been
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: July 26, 2011
    Assignee: Owen Industries, Inc.
    Inventors: Craig A. Crees, Michael J. Jordan, Larry L. Minter
  • Publication number: 20110145596
    Abstract: An improved computer system comprising a first storage area accessible by an operating system and a second storage area which is accessible by authorized functions only is disclosed. According to the invention at least one protected storage area is implemented into the second storage area, wherein the operating system installs at least one secret key and/or at least one customized processing function into regions of the at least one protected storage area, wherein the operating system transfers data, and/or parameters to process into regions of the at least one protected storage area, wherein the operating system selects one of the customized processing functions to execute, wherein the selected customized processing function is executed and accesses storage regions of the at least one protected storage area to process the data and/or the parameters, and wherein resulting process data is read from the at least one protected storage area.
    Type: Application
    Filed: December 14, 2010
    Publication date: June 16, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael J. Jordan, Angel Nunez Mencias, Joerg Schmidbauer, Klaus Werner
  • Patent number: 7952581
    Abstract: A lighting model specified in light space may be transformed to a 3D scene, which may include numerous lights. When the lighting model is transformed to the 3D scene and is uniformly scaled or near-uniformly scaled, intensity or brightness of light at sample points, corresponding to points in the 3D scene, may be adjusted proportionately for a light source using a value based, at least partly, on a transform matrix. When the lighting model in the light space is scaled to non-uniformly stretch a lit area, the sample points may be transformed to the light space, using an inverse of a transform matrix. Distances from the light source to the transformed sample points in the light space may be used to determine attenuation and range with respect to the light source.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: May 31, 2011
    Assignee: Microsoft Corporation
    Inventors: Daniel Lehenbauer, J. Jordan C. Parker, Adam Smith, Alexander Stevenson, Daniel N. Wood
  • Patent number: 7940706
    Abstract: A coupling facility is coupled to one or more other coupling facilities via one or more peer links. The coupling of the facilities enables various functions to be supported, including the duplexing of structures of the coupling facilities. Duplexing is performed on a structure basis, and thus, a coupling facility may include duplexed structures, as well as non-duplexed or simplexed structures.
    Type: Grant
    Filed: August 3, 2007
    Date of Patent: May 10, 2011
    Assignee: International Business Machines Corporation
    Inventors: David A. Elko, Steven N. Goss, Michael J. Jordan, Georgette L. Kurdt, Jeffrey M. Nick, Kelly B. Pushong, David H. Surman
  • Patent number: 7937556
    Abstract: In one embodiment, a system comprises one or more registers configured to store a plurality of values that identify a virtual address space (collectively a tag), a translation lookaside buffer (TLB), and a control unit coupled to the TLB and the one or more registers. The control unit is configured to detect whether or not the tag has changed and in response to a change in the tag, map the changed tag to an identifier having fewer bits than the total number of bits in the tag, and provide the current identifier to the TLB. The TLB is configured to detect a hit/miss in response to the identifier. A similar method is also contemplated.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: May 3, 2011
    Assignee: Oracle America, Inc.
    Inventors: Paul J. Jordan, Manish K. Shah, Gregory F. Grohoski
  • Publication number: 20110089105
    Abstract: A hybrid method and system of treating wastewater with reduced energy usage is disclosed. The treatment system has a sorption system, an anaerobic digester that digests or converts at least a portion of the solids or sludge from the sorption system, and an aerobic treatment tank that partially reduces oxygen demand of a portion of the sludge from the sorption tank.
    Type: Application
    Filed: March 27, 2009
    Publication date: April 21, 2011
    Applicant: SIEMENS WATER TECHNOLOGIES CORP.
    Inventors: Wenjun Liu, Edward J. Jordan, Joseph E. Zuback, George W. Smith
  • Publication number: 20100333098
    Abstract: Various techniques for dynamically allocating instruction tags and using those tags are disclosed. These techniques may apply to processors supporting out-of-order execution and to architectures that supports multiple threads. A group of instructions may be assigned a tag value from a pool of available tag values. A tag value may be usable to determine the program order of a group of instructions relative to other instructions in a thread. After the group of instructions has been (or is about to be) committed, the tag value may be freed so that it can be re-used on a second group of instructions. Tag values are dynamically allocated between threads; accordingly, a particular tag value or range of tag values is not dedicated to a particular thread.
    Type: Application
    Filed: June 30, 2009
    Publication date: December 30, 2010
    Inventors: Paul J. Jordan, Robert T. Golla, Jama I. Barreh
  • Publication number: 20100332787
    Abstract: A system and method for servicing translation lookaside buffer (TLB) misses may manage separate input and output pipelines within a memory management unit. A pending request queue (PRQ) in the input pipeline may include an instruction-related portion storing entries for instruction TLB (ITLB) misses and a data-related portion storing entries for potential or actual data TLB (DTLB) misses. A DTLB PRQ entry may be allocated to each load/store instruction selected from the pick queue. The system may select an ITLB- or DTLB-related entry for servicing dependent on prior PRQ entry selection(s). A corresponding entry may be held in a translation table entry return queue (TTERQ) in the output pipeline until a matching address translation is received from system memory. PRQ and/or TTERQ entries may be deallocated when a corresponding TLB miss is serviced. PRQ and/or TTERQ entries associated with a thread may be deallocated in response to a thread flush.
    Type: Application
    Filed: June 29, 2009
    Publication date: December 30, 2010
    Inventors: Gregory F. Grohoski, Paul J. Jordan, Mark A. Luttrell, Zeid Hartuon Samoail, Robert T. Golla
  • Publication number: 20100332786
    Abstract: A system and method for invalidating obsolete virtual/real address to physical address translations may employ translation lookaside buffers to cache translations. TLB entries may be invalidated in response to changes in the virtual memory space, and thus may need to be demapped. A non-cacheable unit (NCU) residing on a processor may be configured to receive and manage a global TLB demap request from a thread executing on a core residing on the processor. The NCU may send the request to local cores and/or to NCUs of external processors in a multiprocessor system using a hardware instruction to broadcast to all cores and/or processors or to multicast to designated cores and/or processors. The NCU may track completion of the demap operation across the cores and/or processors using one or more counters, and may send an acknowledgement to the initiator of the demap request when the global demap request has been satisfied.
    Type: Application
    Filed: June 29, 2009
    Publication date: December 30, 2010
    Inventors: Gregory F. Grohoski, Paul J. Jordan, Mark A. Luttrell, Zeid Hartuon Samoail