Patents by Inventor Jeffrey Smith

Jeffrey Smith has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11167048
    Abstract: Disclosed are compositions and methods relating to prostate cancer. In particular, disclosed are bivalent targeting ligands that specifically bind prostate specific membrane antigen and gastrin-releasing peptide receptor. Bivalent binding agents disclosed herein can be used to image a tissue in a subject in need thereof and to diagnose prostate cancer in a subject in need thereof. Bivalent binding agents disclosed herein can be used to treat prostate cancer in a subject in need thereof.
    Type: Grant
    Filed: December 13, 2019
    Date of Patent: November 9, 2021
    Assignee: The Curators of the University of Missouri
    Inventors: Charles Jeffrey Smith, Rajendra Prasad Bandari
  • Patent number: 11167875
    Abstract: A shipping container comprising first and second side panels, and first and second end panels connecting the first and second side panels. A pair of major upper flaps are foldably connected to respective side panels, the major upper flaps each forming half of a top panel. A pair of minor upper flaps are foldably connected to respective end panels, each of the minor upper flaps including a central tuck flap panel and a pair of gusset panels foldably connected to and overlapping the central tuck flap panel to form a tuck flap structure. Each tuck flap structure extending along an outer side of a respective end panel and including an end portion extending into an access port formed on the respective end panel.
    Type: Grant
    Filed: June 4, 2019
    Date of Patent: November 9, 2021
    Assignee: INTERNATIONAL PAPER COMPANY
    Inventors: Jeffrey A. Smith, Jack Lawrence Lane, Joel Gregory Wisehart Phillips
  • Patent number: 11161532
    Abstract: A monitoring system for a light emitting diode (LED) signal (100) includes optical detectors (120) for measuring a light output of LEDs (112, 114), a first processing unit (124) in communication with the plurality of optical detectors (120) and configured to receive and process measurement data of the light output from the plurality of optical detectors (120), and a first switching element (130) operably coupled to the first processing unit (124). The first processing unit (124) is further configured to transmit a control signal based on the measurement data of the light output of the plurality of LEDs (112, 114) to the first switching element (130) to disconnect a reference load (150) by switching from a first state to a second state when the light output is less than a predefined threshold value, wherein the second state of the first switching element (130) is stored in a storage medium (148).
    Type: Grant
    Filed: June 27, 2016
    Date of Patent: November 2, 2021
    Assignee: Siemens Mobility, Inc.
    Inventors: Jeffrey Smith, David Cowen
  • Patent number: 11164781
    Abstract: Methods are disclosed that provide improved via profile control by forming atomic layer deposition (ALD) liners to protect side walls of vias during subsequent etch processes. ALD liners can be used for BEOL etch processes as well as for full self-aligned via (FSAV) processes and/or other processes. For one embodiment, ALD liners are used as protection or sacrificial layers for vias to reduce damage during multilayer via or trench etch processes. The ALD liners can also be deposited at different points within process flows, for example, before or after removal of organic planarization layers. The use of ALD liners facilitates shrinking of via critical dimensions (CDs) while still controlling via profiles for various process applications including dual Damascene processes and FSAV processes. In addition, the use of ALD liners improves overall CD control for via or hole formation as well as device yield and reliability.
    Type: Grant
    Filed: July 11, 2019
    Date of Patent: November 2, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Xinghua Sun, Yen-Tien Lu, Angelique Raley, David O'Meara, Jeffrey Smith
  • Publication number: 20210282775
    Abstract: A surgical stapler is provided that includes a first jaw and a second jaw. The first jaw includes a proximal end portion and a distal end portion. The second jaw includes a proximal end portion and a distal end portion. The proximal end portion of the first jaw is pivotally mounted to the proximal end portion of the second jaw. A flexible guide is secured to the distal end portion of the first jaw.
    Type: Application
    Filed: March 25, 2021
    Publication date: September 16, 2021
    Inventor: Jeffrey A. Smith
  • Patent number: 11114381
    Abstract: A semiconductor device is provided. The semiconductor device includes a transistor stack having a plurality of transistor pairs that are stacked over a substrate. Each transistor pair of the plurality of transistor pairs includes a n-type transistor and a p-type transistor that are stacked over one another. The plurality of transistor pairs have a plurality of gate electrodes that are stacked over the substrate and electrically coupled to gate structures of the plurality of transistor pairs, and a plurality of source/drain (S/D) local interconnects that are stacked over the substrate and electrically coupled to source regions and drain regions of the plurality of transistor pairs. The semiconductor device further includes one or more conductive planes formed over the substrate. The one or more conductive planes are positioned adjacent to the transistor stack, span a height of the transistor stack and are electrically coupled to the transistor stack.
    Type: Grant
    Filed: September 4, 2019
    Date of Patent: September 7, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers, Kandabara Tapily
  • Patent number: 11114346
    Abstract: A method of forming transistor devices is described that includes forming a first transistor plane on a substrate, the first transistor plane including at least one layer of epitaxial film adaptable for forming channels of field effect transistors, depositing a first insulator layer on the first transistor plane, depositing a first layer of polycrystalline silicon on the first insulator layer, annealing the first layer of polycrystalline silicon using laser heating. The laser heating increases grain size of the first layer of polycrystalline silicon. The method further includes forming a second transistor plane on the first layer of polycrystalline silicon, the second transistor plane being adaptable for forming channels of field effect transistors, depositing a second insulator layer on the second transistor plane, depositing a second layer of polycrystalline silicon on the second insulator layer, and annealing the second layer of polycrystalline silicon using laser heating.
    Type: Grant
    Filed: December 6, 2019
    Date of Patent: September 7, 2021
    Assignee: Tokyo Electron Limited
    Inventors: H. Jim Fulford, Mark I. Gardner, Jeffrey Smith, Lars Liebmann, Daniel Chanemougame
  • Patent number: 11107733
    Abstract: A method of forming transistor devices includes forming a first transistor plane on a substrate, the first transistor plane including at least one layer of field effect transistors; depositing a first insulator layer on the first transistor plane; forming holes in the first insulator layer using a first etch mask; depositing a first layer of polycrystalline silicon on the first insulator layer, the first layer of polycrystalline filling the holes and covering the first insulator layer; and annealing the first layer of polycrystalline silicon using laser heating, the laser heating creating regions of single-crystal silicon. A top surface of the first transistor plane is a top surface of a stack of silicon formed by epitaxial growth.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: August 31, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Mark I. Gardner, H. Jim Fulford, Jeffrey Smith, Lars Liebmann, Daniel Chanemougame
  • Patent number: 11101173
    Abstract: This disclosure relates to a method for using a high volume manufacturing system for processing and measuring workpieces in a semiconductor processing sequence without leaving the system's controlled environment (e.g., sub-atmospheric pressure). The system includes an active interdiction control system to implement corrective processing within the system when a non-conformity is detected. The corrective processing method can include a remedial process sequence to correct the non-conformity or compensate for the non-conformity during subsequent process. The non-conformity may be associated with fabrication measurement data, process parameter data, and/or platform performance data.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: August 24, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Robert Clark, Jeffrey Smith, Kandabara Tapily, Angelique Raley, Qiang Zhao
  • Publication number: 20210248575
    Abstract: A system and method for performing a financial transaction by determining a master account number associated with one or more accounts that a user may access, providing data to generate a user interface displaying a list of the accounts and an indicator associated with a financial transaction, receiving indicator information associating the indicator with one or more of the accounts, receiving terms for the financial transaction, and performing the financial transaction. The list of accounts may be expanded to view account information.
    Type: Application
    Filed: April 27, 2021
    Publication date: August 12, 2021
    Inventors: Elizabeth E. Cole, Julio Farach, Jill Sorg, Donald Jeffrey Smith, Hector Crespo, Lynn Jackson
  • Publication number: 20210249305
    Abstract: A semiconductor device includes conductive structures formed in a first dielectric layer, a conductive cap layer selectively positioned over the conductive structures and the first dielectric layer with a top surface and sidewalls, a second dielectric layer selectively positioned over the first dielectric layer and disposed between the sidewalls of the conductive cap layer, a third dielectric layer selectively positioned over the second dielectric layer and disposed between the sidewalls of the conductive cap layer, a fourth dielectric layer arranged over the conductive structures and the third dielectric layer, and an interconnect structure formed in the fourth dielectric layer. The interconnect structure includes a trench structure and a via structure that is positioned below the trench structure and connected to the trench structure. The via structure includes a first portion positioned over the conductive cap layer and a second portion disposed over the first portion and the third dielectric layer.
    Type: Application
    Filed: April 6, 2021
    Publication date: August 12, 2021
    Applicant: Tokyo Electron Limited
    Inventors: Kandabara TAPILY, Jeffrey SMITH
  • Publication number: 20210202481
    Abstract: A method of fabricating a semiconductor device is provided. An initial stack of layers is formed over a substrate. The initial stack alternates between a first material layer and a second material layer that has a different composition from the first material layer. The initial stack is divided into a first stack and a second stack. First GAA transistors are formed in the first stack by using the first material layers as respective channel regions for the first GAA transistors and using the second material layers as respective replacement gates for the first GAA transistors. Second GAA transistors are formed in the second stack by using the second material layers as respective channel regions for the second GAA transistors and using the first material layers as respective replacement gates for the second GAA transistors. The second GAA transistors are vertically offset from the first GAA transistors.
    Type: Application
    Filed: December 29, 2020
    Publication date: July 1, 2021
    Applicant: Tokyo Electron Limited
    Inventors: H. Jim FULFORD, Anton J. DEVILLIERS, Mark I. GARDNER, Daniel CHANEMOUGAME, Jeffrey SMITH, Lars LIEBMANN, Subhadeep KAL
  • Publication number: 20210202500
    Abstract: A static random access memory (SRAM) structure is provided. The structure includes a plurality of SRAM bit cells on a substrate. Each SRAM bit cell includes at least six transistors including at least two NMOS transistors and at least two PMOS transistors. Each of the six transistors is being lateral gate-all-around transistors in that gates wraps all around a cross section of channels of the at least six transistors. The at least six transistors positioned in three decks in which a third deck is positioned vertically above a second deck, and the second deck is positioned vertically above a first deck relative to a working surface of the substrate. A first inverter is formed using a first transistor positioned in the first deck and a second transistor positioned in the second deck. A second inverter is formed using a third transistor positioned in the first deck and a fourth transistor positioned in the second deck. A pass gate is located in the third deck.
    Type: Application
    Filed: December 31, 2020
    Publication date: July 1, 2021
    Applicant: Tokyo Electron Limited
    Inventors: Daniel CHANEMOUGAME, Lars Liebmann, Jeffrey Smith
  • Patent number: 11031287
    Abstract: In a method for processing a substrate, a conductive cap layer is selectively formed over a plurality of conductive structures that are positioned in a first dielectric layer. A second dielectric layer is selectively formed over the first dielectric layer. A third dielectric layer is selectively formed over the second dielectric layer. A fourth dielectric layer is then formed over the plurality of conductive structures and the third dielectric layer, and an interconnect structure is subsequently formed within the fourth dielectric layer. The interconnect structure includes a via structure that has a first portion positioned over the conductive cap layer so that sidewalls of the first portion are surrounded by the third dielectric layer, and a second portion disposed over the first portion and the third dielectric layer.
    Type: Grant
    Filed: June 26, 2019
    Date of Patent: June 8, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Kandabara Tapily, Jeffrey Smith
  • Patent number: 10997572
    Abstract: A system and method for performing a financial transaction by determining a master account number associated with one or more accounts that a user may access, providing data to generate a user interface displaying a list of the accounts and an indicator associated with a financial transaction, receiving indicator information associating the indicator with one or more of the accounts, receiving terms for the financial transaction, and performing the financial transaction. The list of accounts may be expanded to view account information.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: May 4, 2021
    Assignee: CAPITAL ONE SERVICES, LLC
    Inventors: Elizabeth E. Cole, Julio Farach, Jill Sorg, Donald Jeffrey Smith, Hector Crespo, Lynn Jackson
  • Patent number: 10991626
    Abstract: A semiconductor device includes: a substrate having a planar surface; a first gate-all-around field effect transistor (GAA-FET) provided on said substrate and comprising a first channel having an untrimmed volume of first channel material corresponding to a volume of the first channel material within a first stacked fin structure from which the first channel was formed; and a second GAA-FET provided on said substrate and comprising a second channel having a trimmed volume of second channel material which is less than said untrimmed volume of first channel material by a predetermined trim amount corresponding to a delay adjustment of the second GAA-FET relative to the first GAA-FET, wherein said first and second GAA FETs are electrically connected as complementary FETs.
    Type: Grant
    Filed: June 10, 2020
    Date of Patent: April 27, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Jeffrey Smith, Subhadeep Kal, Anton Devilliers
  • Publication number: 20210118799
    Abstract: Aspects of the disclosure provide a semiconductor apparatus including a plurality of structures. A first one of the structures comprises a first stack of transistors that includes a first transistor formed on a substrate and a second transistor stacked on the first transistor along a Z direction substantially perpendicular to a substrate plane of the semiconductor apparatus. The first one of the structures further includes local interconnect structures. The first transistor is sandwiched between two of the local interconnect structures. The first one of the structures further includes vertical conductive structures substantially parallel to the Z direction. The vertical conductive structures are configured to provide at least power supplies for the first one of the structures by electrically coupling with the local interconnect structures. A height of one of the vertical conductive structures along the Z direction is at least a height of the first one of the structures.
    Type: Application
    Filed: October 22, 2019
    Publication date: April 22, 2021
    Applicant: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton deVilliers
  • Publication number: 20210118798
    Abstract: A semiconductor device includes a first power rail, a first power input structure, a circuit and a first middle-of-line rail. The first power rail is formed in a first rail opening within a first isolation trench on a substrate. The first power input structure is configured to connect with a first terminal of a power source that is external of the semiconductor device to receive electrical power from the power source. The circuit is formed, on the substrate, by layers between the first power rail and the first power input structure. The first middle-of-line rail is formed by one or more of the layers that form the circuit. The first middle-of-line rail is configured to deliver the electrical power from the first power input structure to the first power rail, and the first power rail provides the electrical power to the circuit for operation.
    Type: Application
    Filed: October 21, 2019
    Publication date: April 22, 2021
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Lars LIEBMANN, Jeffrey SMITH, Anton DEVILLIERS, Daniel CHANEMOUGAME
  • Patent number: D915786
    Type: Grant
    Filed: August 31, 2018
    Date of Patent: April 13, 2021
    Assignee: Fresh Products, Inc.
    Inventors: Douglas S. Brown, Jeffrey A. Smith
  • Patent number: D925009
    Type: Grant
    Filed: October 25, 2018
    Date of Patent: July 13, 2021
    Assignee: Fresh Products, Inc.
    Inventors: Douglas S. Brown, Jeffrey A. Smith