Patents by Inventor Srinivas D. Nemani

Srinivas D. Nemani has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20200283898
    Abstract: Methods for depositing a metal containing material formed on a certain material of a substrate using an atomic layer deposition process for semiconductor applications are provided. In one embodiment, a method of forming a metal containing material on a substrate comprises pulsing a first gas precursor comprising a metal containing precursor to a surface of a substrate, pulsing a second gas precursor comprising a silicon containing precursor to the surface of the substrate, forming a metal containing material selectively on a first material of the substrate, and thermal annealing the metal containing material formed on the substrate.
    Type: Application
    Filed: March 4, 2020
    Publication date: September 10, 2020
    Inventors: Jong Choi, Christopher Ahles, Andrew C. Kummel, Keith Tatseun Wong, Srinivas D. Nemani
  • Patent number: 10748783
    Abstract: The present disclosure relates to high pressure processing apparatus for semiconductor processing. The apparatus described herein include a high pressure process chamber and a containment chamber surrounding the process chamber. A high pressure fluid delivery module is in fluid communication with the high pressure process chamber and is configured to deliver a high pressure fluid to the process chamber.
    Type: Grant
    Filed: July 12, 2019
    Date of Patent: August 18, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Adib M. Khan, Qiwei Liang, Sultan Malik, Srinivas D. Nemani
  • Publication number: 20200233307
    Abstract: Methods and apparatuses for minimizing line edge/width roughness in lines formed by photolithography are provided. In one example, a method of processing a substrate includes applying a photoresist layer comprising a photoacid generator to on a multi-layer disposed on a substrate, wherein the multi-layer comprises an underlayer formed from an organic material, inorganic material, or a mixture of organic and inorganic materials, exposing a first portion of the photoresist layer unprotected by a photomask to a radiation light in a lithographic exposure process, and applying an electric field or a magnetic field to alter movement of photoacid generated from the photoacid generator substantially in a vertical direction.
    Type: Application
    Filed: October 11, 2019
    Publication date: July 23, 2020
    Inventors: Huixiong DAI, Mangesh BANGAR, Christopher S. NGAI, Srinivas D. NEMANI, Ellie Y. YIEH, Steven Hiloong WELCH
  • Patent number: 10720341
    Abstract: A high-pressure processing system for processing a layer on a substrate includes a first chamber, a support to hold the substrate in the first chamber, a second chamber adjacent the first chamber, a foreline to remove gas from the second chamber, a vacuum processing system configured to lower a pressure within the second chamber to near vacuum, a valve assembly between the first chamber and the second chamber to isolate the pressure within the first chamber from the pressure within the second chamber, a gas delivery system configured to increase the pressure within the first chamber to at least 10 atmospheres while the first chamber is isolated from the second chamber, an exhaust system comprising an exhaust line to remove gas from the first chamber, and a common housing surrounding both the first gas delivery module and the second gas delivery module.
    Type: Grant
    Filed: November 7, 2018
    Date of Patent: July 21, 2020
    Assignee: Micromaterials, LLC
    Inventors: Qiwei Liang, Srinivas D. Nemani, Sean S. Kang, Adib Khan, Ellie Y. Yieh
  • Patent number: 10714331
    Abstract: A method for forming a thermally stable spacer layer is disclosed. The method includes first disposing a substrate in an internal volume of a processing chamber. The substrate has a film formed thereon, the film including silicon, carbon, nitrogen, and hydrogen. Next, high pressure steam is introduced into the processing chamber. The film is exposed to the high pressure steam to convert the film to reacted film, the reacted film including silicon, carbon, oxygen, and hydrogen.
    Type: Grant
    Filed: March 15, 2019
    Date of Patent: July 14, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Mihaela Balseanu, Srinivas D. Nemani, Mei-Yee Shek, Ellie Y. Yieh
  • Patent number: 10704141
    Abstract: Embodiments of the systems and methods herein are directed towards forming, via ALD or CVD, a protective film in-situ on a plurality of interior components of a process chamber. The interior components coated with the protective film include a chamber sidewall, a chamber bottom, a substrate support pedestal, a showerhead, and a chamber top. The protective film can be of various compositions including amorphous Si, carbosilane, polysilicon, SiC, SiN, SiO2, Al2O3, AlON, HfO2, or Ni3Al, and can vary in thickness from about 80 nm to about 250 nm.
    Type: Grant
    Filed: April 12, 2019
    Date of Patent: July 7, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Sultan Malik, Srinivas D. Nemani, Qiwei Liang, Adib Khan, Maximillian Clemons
  • Patent number: 10692734
    Abstract: Methods and apparatus for processing a substrate and etching a nickel silicide layer are provided herein. In some embodiments, a method of etching a nickel silicide film in a semiconductor device include: contacting a nickel silicide film disposed on a substrate in a process chamber with an etching gas sufficient to form one or more soluble or volatile products in order to reduce or eliminate re-deposition of products formed from the nickel silicide film upon the nickel silicide film.
    Type: Grant
    Filed: October 25, 2018
    Date of Patent: June 23, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jong Mun Kim, Chentsau Chris Ying, He Ren, Srinivas D. Nemani, Ellie Yieh
  • Publication number: 20200185260
    Abstract: Embodiments of the disclosure relate to an apparatus and method for processing semiconductor substrates. In one embodiment, a processing system is disclosed. The processing system includes an outer chamber that surrounds an inner chamber. The inner chamber includes a substrate support upon which a substrate is positioned during processing. The inner chamber is configured to have an internal volume that, when isolated from an internal volume of the outer chamber, is changeable such that the pressure within the internal volume of the inner chamber may be varied.
    Type: Application
    Filed: December 6, 2019
    Publication date: June 11, 2020
    Inventors: Sultan MALIK, Srinivas D. NEMANI, Qiwei LIANG, Adib M. KHAN
  • Publication number: 20200161176
    Abstract: Methods for forming a transition metal material on a substrate and thermal processing such metal containing material in a cluster processing system are provided. In one embodiment, a method for a device structure for semiconductor devices includes forming a two-dimensional transition metal dichalcogenide layer on a substrate in a first processing chamber disposed in a cluster processing system, thermally treating the two-dimensional transition metal dichalcogenide layer to form a treated metal layer in a second processing chamber disposed in the cluster processing system, and forming a capping layer on the treated metal layer in a third processing chamber disposed in the cluster processing system.
    Type: Application
    Filed: November 20, 2018
    Publication date: May 21, 2020
    Inventors: Keith Tatseun WONG, Srinivas D. Nemani, Ellie Y. Yieh
  • Publication number: 20200161156
    Abstract: Embodiments disclosed herein may include an electrostatic chuck (ESC) carrier. In an embodiment, the ESC carrier may comprise a carrier substrate having a first surface and a second surface opposite the first surface. In an embodiment, a first through substrate opening and a second through substrate opening may pass through the carrier substrate from the first surface to the second surface. Embodiments may include a first conductor in the first through substrate opening, and a second conductor in the second through substrate opening. In an embodiment, the ESC carrier may further comprise a first electrode over the first surface of the carrier substrate and electrically coupled to the first conductor, and a second electrode over the first surface of the carrier substrate and electrically coupled to the second conductor. In an embodiment, an oxide layer may be formed over the first electrode and the second electrode.
    Type: Application
    Filed: November 21, 2018
    Publication date: May 21, 2020
    Inventors: Jingyu QIAO, Qiwei LIANG, Viachslav BABAYAN, Seshadri RAMASWAMI, Srinivas D. NEMANI
  • Publication number: 20200135492
    Abstract: Methods and apparatus for processing a substrate and etching a nickel silicide layer are provided herein. In some embodiments, a method of etching a nickel silicide film in a semiconductor device include: contacting a nickel silicide film disposed on a substrate in a process chamber with an etching gas sufficient to form one or more soluble or volatile products in order to reduce or eliminate re-deposition of products formed from the nickel silicide film upon the nickel silicide film.
    Type: Application
    Filed: October 25, 2018
    Publication date: April 30, 2020
    Inventors: JONG MUN KIM, CHENTSAU CHRIS YING, HE REN, SRINIVAS D. NEMANI, ELLIE YIEH
  • Patent number: 10636704
    Abstract: Aspects of the disclosure include methods of treating a substrate to remove one or more of voids, seams, and grain boundaries from interconnects formed on the substrate. The method includes heating the substrate in an environment pressurized at supra-atmospheric pressure. In one example, the substrate may be heated in a hydrogen-containing atmosphere.
    Type: Grant
    Filed: August 13, 2018
    Date of Patent: April 28, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bencherki Mebarki, Sean S. Kang, Keith Tatseun Wong, He Ren, Mehul B. Naik, Ellie Y. Yieh, Srinivas D. Nemani
  • Publication number: 20200105525
    Abstract: A method of forming graphene layers is disclosed. A method of improving graphene deposition is also disclosed. Some methods are advantageously performed at lower temperatures. Some methods advantageously provide graphene layers with lower resistance. Some methods advantageously provide graphene layers in a relatively short period of time.
    Type: Application
    Filed: September 27, 2019
    Publication date: April 2, 2020
    Inventors: Jie Zhou, Erica Chen, Qiwei Liang, Chentsau Chris Ying, Srinivas D. Nemani, Ellie Y. Yieh
  • Publication number: 20200090946
    Abstract: Embodiments of the present invention provide an apparatus and methods for depositing a dielectric material using RF bias pulses along with remote plasma source deposition for manufacturing semiconductor devices, particularly for filling openings with high aspect ratios in semiconductor applications. In one embodiment, a method of depositing a dielectric material includes providing a gas mixture into a processing chamber having a substrate disposed therein, forming a remote plasma in a remote plasma source and delivering the remote plasma to an interior processing region defined in the processing chamber, applying a RF bias power to the processing chamber in pulsed mode, and forming a dielectric material in an opening defined in a material layer disposed on the substrate in the presence of the gas mixture and the remote plasma.
    Type: Application
    Filed: September 17, 2018
    Publication date: March 19, 2020
    Inventors: Bhargav S. CITLA, Jethro TANNOS, Jingyi LI, Douglas A. BUCHBERGER, JR., Zhong Qiang HUA, Srinivas D. NEMANI, Ellie Y. YIEH
  • Patent number: 10590530
    Abstract: A process chamber is provided including a sidewall, a substrate support, and an exhaust vent disposed above the substrate support. A processing region is formed between the exhaust vent and substrate support, and the exhaust vent is coupled to an exhaust device configured to create a low pressure at the exhaust vent relative to the processing region. The process chamber further includes a gas ring including an annular shaped body having an inner surface that circumscribes an annular region. The gas ring further includes a plurality of first nozzles that are coupled to a first gas source and configured to deliver a first gas to the processing region. The gas ring further includes a plurality of second nozzles that are coupled to a second gas source and configured to deliver a second gas to the processing region.
    Type: Grant
    Filed: March 1, 2019
    Date of Patent: March 17, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Qiwei Liang, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 10586707
    Abstract: Embodiments of the disclosure relate to selective metal silicide deposition methods. In one embodiment, a substrate having a silicon containing surface is heated and the silicon containing surface is hydrogen terminated. The substrate is exposed to sequential cycles of a MoF6 precursor and a Si2H6 precursor which is followed by an additional Si2H6 overdose exposure to selectively deposit a MoSix material comprising MoSi2 on the silicon containing surface of the substrate. Methods described herein also provide for selective native oxide removal which enables removal of native oxide material without etching bulk oxide materials.
    Type: Grant
    Filed: November 13, 2018
    Date of Patent: March 10, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Raymond Hung, Namsung Kim, Srinivas D. Nemani, Ellie Y. Yieh, Jong Choi, Christopher Ahles, Andrew Kummel
  • Patent number: 10570506
    Abstract: Embodiments of the present disclosure generally describe methods for depositing an amorphous carbon layer onto a substrate, including over previously formed layers on the substrate, using a high power impulse magnetron sputtering (HiPIMS) process, and in particular, biasing of the substrate during the deposition process and flowing a nitrogen source gas and/or a hydrogen source gas into the processing chamber in addition to an inert gas to improve the morphology and film stress of the deposited amorphous carbon layer.
    Type: Grant
    Filed: November 22, 2017
    Date of Patent: February 25, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bhargav Citla, Jingjing Liu, Zhong Qiang Hua, Chentsau Ying, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 10566188
    Abstract: Embodiments of the present disclosure generally relate to a film treatment process. In one embodiment, a transition metal oxide layer including a dopant is deposited on a substrate. After the doped transition metal oxide layer is deposited, a high pressure annealing process is performed on the doped transition metal oxide layer to densify the doped transition metal oxide without outgassing of the dopant. The high pressure annealing process is performed in an ambient environment including the dopant and at a pressure greater than 1 bar.
    Type: Grant
    Filed: July 16, 2018
    Date of Patent: February 18, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Maximillian Clemons, Michel Ranjit Frei, Mahendra Pakala, Mehul B. Naik, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 10566226
    Abstract: Embodiments of multi-cassette carrying cases are provided herein. In some embodiments a multi-cassette carrying case includes: a body having an inner volume; a door coupled to the body to selectively seal off the inner volume; and a plurality of cassette holders disposed in the inner volume to hold one or more substrate cassettes. In some embodiments, a method of transferring substrates includes: placing a substrate in a substrate cassette, wherein an inner volume of the substrate cassette is sealed from an environment outside of the substrate cassette; and placing the substrate cassette in a multi-cassette carrying case.
    Type: Grant
    Filed: November 5, 2015
    Date of Patent: February 18, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sriskantharajah Thirunavukarasu, Eng Sheng Peh, Srinivas D. Nemani, Arvind Sundarrajan, Avinash Avula, Ellie Y. Yieh, Michael Rice, Ginetto Addiego
  • Publication number: 20200051920
    Abstract: A graphene barrier layer is disclosed. Some embodiments relate to a graphene barrier layer capable of preventing diffusion from a fill layer into a substrate surface and/or vice versa. Some embodiments relate to a graphene barrier layer that prevents diffusion of fluorine from a tungsten layer into the underlying substrate. Additional embodiments relate to electronic devices which contain a graphene barrier layer.
    Type: Application
    Filed: August 9, 2019
    Publication date: February 13, 2020
    Inventors: Yong Wu, Srinivas Gandikota, Abhijit Basu Mallick, Srinivas D. Nemani