Patents by Inventor Water Lur

Water Lur has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20020045345
    Abstract: A method that enhances performance of copper damascene by embedding TiN layer is proposed. The spirit of the invention is that a CVD TiN layer is inserted between the copper seed layer and the dielectric layer to improve the quality of copper layer. Herein, the TiN layer can either be located between the copper seed layer and the barrier layer or be located between the barrier layer and the dielectric layer. Because the barrier layer and the copper seed layer are formed by physical vapor deposition in current mass product, a higher side wall converge of the CVD TiN layer can be obtained owing to the higher conformity nature of CVD technology. Therefore, a better sidewall CVD TiN converge serves as an extra protection layer for copper self diffusion. Furthermore, it also acts as a copper seed layer to remedy side wall void problems due to copper seed layer discontinuity. Thus, not only the quality of copper layer is improved but also the performance of copper damascene process is enhanced.
    Type: Application
    Filed: June 8, 1999
    Publication date: April 18, 2002
    Inventors: CHIUNG-SHENG HSIUNG, WEN-YI HSIEH, WATER LUR
  • Publication number: 20020037629
    Abstract: A method of chemical-mechanical polishing for forming a shallow trench isolation is disclosed. A substrate having a number of active regions, including a number of relative large active regions and a number of relative small active regions, is provided. The method comprises the following steps. A silicon nitride layer on the substrate is first formed. A number of shallow trenches are formed between the active regions. An oxide layer is formed over the substrate, so that the shallow trenches are filled with the oxide layer. A partial reverse active mask is formed on the oxide layer. The partial rever active mask has an opening at a central part of each relative large active region. The opening exposes a portion of the oxide layer. The opening has at least a dummy pattern. The oxide layer on the central part of each large active region is removed to expose the silicon nitride layer. The partial reverse active mask is removed. The oxide layer is planarized to expose the silicon nitride layer.
    Type: Application
    Filed: November 20, 2001
    Publication date: March 28, 2002
    Inventors: Coming Chen, Juan-Yuan Wu, Water Lur
  • Patent number: 6362101
    Abstract: A method for chemical mechanical polishing a component includes providing an oxide layer and forming at least one via through the oxide layer. A tungsten layer is formed within the via and over the oxide layer. A first chemical mechanical polishing step is carried out on a polishing pad using a first slurry having an oxidizing component and having a pH of approximately 2 to approximately 4 to remove the tungsten layer from over the oxide layer. A second chemical mechanical polishing step is carried out on the polishing pad using a second slurry having a pH of approximately 2 to approximately 4 to polish scratches out of the oxide layer.
    Type: Grant
    Filed: November 24, 1997
    Date of Patent: March 26, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Ming-Sheng Yang, Juan-Yuan Wu, Water Lur, Shih-Wei Sun
  • Publication number: 20020030033
    Abstract: A method for depositing dielectric material into gaps between wiring lines in the formation of a semiconductor device includes the formation of a cap layer and the formation of gaps into which high density plasma chemical vapor deposition (HDPCVD) dielectric material is deposited. First and second antireflective coatings may be formed on the wiring line layer, the first and second antireflective coatings being made from different materials. Both antireflective coatings and the wiring line layer are etched through to form wiring lines separated by gaps. The gaps between wiring lines may be filled using high density plasma chemical vapor deposition.
    Type: Application
    Filed: November 20, 2001
    Publication date: March 14, 2002
    Inventors: Chih-Chien Liu, Ta-Shan Tseng, W.B. Shieh, J.Y. Wu, Water Lur, Shih-Wei Sun
  • Publication number: 20020031726
    Abstract: A method of photolithography. An anti-reflective coating is formed on the conductive layer. An nitrogen plasma treatment is performed. A photo-resist layer is formed and patterned on the anti-reflective coating. The conductive layer is defined. The photo-resist layer is removed. The anti-reflective layer is removed by using phosphoric acid.
    Type: Application
    Filed: April 5, 2001
    Publication date: March 14, 2002
    Inventors: Kevin Hsieh, Chih-Yung Lin, Chih-Hsiang Hsiao, Juan-Yuan Wu, Water Lur
  • Publication number: 20020025689
    Abstract: A chemical-mechanical polishing method utilizes a shallow dummy pattern for planarizing a dielectric layer. The method includes the steps of first forming a shallow dummy pattern on the dielectric layer, and then coating a patterned photoresist layer over the dielectric layer. Thereafter, the photoresist layer is used as a mask to form openings in other areas of the dielectric layer. Subsequently, the photoresist layer is removed to expose the shallow dummy pattern, and then a glue/barrier layer and a conductive layer are sequentially deposited. Next, a chemical-mechanical polishing operation is carried out to remove excess conductive layer and glue/barrier layer above the dielectric layer as well as the shallow dummy pattern at the same time. Since the removal rate of glue/barrier layer in each area above the dielectric layer is about the same, a planar substrate surface is obtained.
    Type: Application
    Filed: February 20, 2001
    Publication date: February 28, 2002
    Inventors: Ming-Sheng Yang, Yimin Huang, Juan-Yuan Wu, Water Lur
  • Patent number: 6344408
    Abstract: A method for improving non-uniformity of chemical mechanical polishing by over coating layer is disclosed. The essential point of the invention is that an over coating layer is formed over a surface before the surface is planarized by a chemical mechanical polishing process. Note that polishing rate of the over coating layer must be less than the polishing rate of the surface, where the ratio of polishing rate is called as selectivity. Because the topography of the surface is not uniform, the topography of the over coating layer also is non-uniform and then the polishing probability in different parts of the over coating layer is different. Obviously, when the over coating layer on the higher area part of the surface is totally consumed, these are residual over coating layer on the lower area part of the surface. Thus, over polishing in the lower area part is prevented by residual over coating layer.
    Type: Grant
    Filed: April 22, 1999
    Date of Patent: February 5, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Hsueh-Chung Chen, Ming-Sheng Yang, Juan-Yuan Wu, Water Lur
  • Patent number: 6337279
    Abstract: A method of fabricating a shallow trench isolation in semiconductor substrate comprises a densification process after performing chemical-mechanical polishing on an isolation plug. Thus, the isolation plug can prevent micro-scratches from forming deep scratches. Therefore, shorts arising from the micro-scratches do not happen.
    Type: Grant
    Filed: December 17, 1998
    Date of Patent: January 8, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Chao-Yuan Huang, Juan-Yuan Wu, Water Lur
  • Publication number: 20020001919
    Abstract: A method of forming a partial reverse active mask. A mask pattern comprising a large active region pattern with an original dimension and a small active region pattern is provided. The large active region pattern and the small active region pattern are shrunk until the small active region pattern disappears. The large active region pattern enlarged to a dimension slightly smaller than the original dimension.
    Type: Application
    Filed: August 21, 2001
    Publication date: January 3, 2002
    Applicant: United Microelectronics Corp.
    Inventors: Coming Chen, Juan-Yuan Wu, Water Lur
  • Patent number: 6316330
    Abstract: A method for fabricating a semiconductor device. A shallow trench isolation is formed by forming a well region, a gate oxide layer and a wiring layer prior to forming a trench in the substrate. The trench is then filled with silicon oxide layer doped with germanium, nitrogen, titanium or other refractory metal. In addition, a MOS device is also fabricated with a gate buried in the substrate with a shallow trench isolation filled with the doped silicon oxide layer formed therein.
    Type: Grant
    Filed: October 26, 2000
    Date of Patent: November 13, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Gwo-Shii Yang, Tri-Rung Yew, Coming Chen, Water Lur
  • Patent number: 6313028
    Abstract: A method of fabricating a dual damascene is provided. A dielectric layer is formed on a substrate. A diffusion barrier layer is formed on the dielectric layer. A portion of the diffusion barrier layer and the dielectric layer is removed to form a trench and a via hole. A barrier layer is formed on the diffusion barrier layer and in the trench and the via hole. The barrier layer on the diffusion barrier layer is removed by chemical-mechanical polishing. A conductive layer is formed in the trench and the via hole by selective deposition. A planarization step is performed with the diffusion barrier layer serving as a stop layer.
    Type: Grant
    Filed: March 29, 1999
    Date of Patent: November 6, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Chao-Yuan Huang, Juan-Yuan Wu, Water Lur
  • Patent number: 6306722
    Abstract: A method for fabricating, a shallow trench isolation structure. A pad oxide layer and a silicon nitride layer are formed in sequence on a substrate. A trench is formed in the substrate and a liner oxide layer is formed on a sidewall of the trench. A doped silicon dioxide layer is formed on the silicon nitride layer and fills the trench. An annealing process is performed to density the doped silicon dioxide layer. A portion of the doped silicon dioxide layer is removed to expose the silicon nitride layer by a planarization process.
    Type: Grant
    Filed: May 3, 1999
    Date of Patent: October 23, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Gwo-Shii Yang, Tri-Rung Yew, Coming Chen, Water Lur
  • Patent number: 6291111
    Abstract: A method of trench polishing. A semiconductor substrate is provided. A photo-mask with a pattern is provided. The method of fabricating the photo-mask further comprising providing an original pattern which comprises a plurality of active regions with individual size. The original pattern is enlarged outwards to connect and merge some of the active regions. The active regions is diminished inwards until some small active regions eliminate, the diminished line width being denoted as B. A reverse treatment is performed to obtain a reverse pattern. The reverse pattern is enlarged with a line width C. The reverse pattern is combined with the original pattern. The substrate is patterned with the photo-mask with the combined pattern. An insulation layer is formed on the substrate. The insulation layer is polished.
    Type: Grant
    Filed: October 1, 1998
    Date of Patent: September 18, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Coming Chen, Juan-Yuan Wu, Jenn Tsao, Water Lur
  • Patent number: 6291295
    Abstract: A method of fabricating a capacitor. An isolation layer is formed on a substrate. An ion implantation step is performed. The isolation layer is patterned to form an opening in the isolation layer. The opening exposes a portion of the substrate. A patterned conductive layer is formed on the isolation layer to fill the opening. A hemispherical grained silicon layer is performed on the conductive layer. In addition, the step order of the ion implantation step can be changed. The ion implantation can also be performed after the opening is formed.
    Type: Grant
    Filed: May 24, 1999
    Date of Patent: September 18, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Kuo-Tai Huang, Tri-Rung Yew, Water Lur
  • Publication number: 20010019883
    Abstract: A method for forming an inter-metal dielectric layer without voids therein is described. Wiring lines are formed on a provided substrate. Each of the wiring lines comprises a protective layer thereon. A liner layer is formed over the substrate and over the wiring lines. An FSG layer is formed on the liner layer by using HDPCVD. A thickness of the FSG layer is about 0.9-1 times a thickness of the wiring lines. A cap layer is formed on the FSG layer using HDPCVD. A thickness of the cap layer is about 0.2-0.3 times a thickness of the wiring lines. An oxide layer is formed on the cap layer to achieve a predetermined thickness. A part of the dielectric layer is removed to obtain a planarized surface.
    Type: Application
    Filed: February 22, 2001
    Publication date: September 6, 2001
    Inventors: Chih-Chien Liu, Cheng-Yuan Tsai, Wen-Yi Hsieh, Water Lur
  • Publication number: 20010014483
    Abstract: A method of forming a gate oxide layer according to the invention is disclosed. In the method, a furnace or rapid thermal oxidation (RTO) chamber where a semiconductor substrate having a native oxide layer formed thereon is located is supplied with a high-temperature hydrogen gas to deoxidize the native oxide layer. Then, a gate oxide layer is formed over the semiconductor substrate. The semiconductor substrate having the gate oxide layer formed thereon is transferred through a vacuum transmission system into a reaction chamber where a polysilicon layer is formed on the gate oxide layer. Thus, the semiconductor substrate can avoid exposure to an oxygen-containing atmosphere to re-form a native oxide layer thereon.
    Type: Application
    Filed: November 24, 1998
    Publication date: August 16, 2001
    Inventors: HSUEH-HAO SHIH, JUAN-YUAN WU, WATER LUR
  • Patent number: 6271118
    Abstract: A method is described. A substrate is provided. A first conductive layer with a first width and a second conductive layer with a second width are formed on the substrate. Photolithography and etching processes are performed on the dielectric layer to at least expose a first region of the first conductive layer and a second region of the second conductive layer. An oxide layer is then formed over the dielectric layer and the exposed first and second conductive layers. The method of applying partial reverse mask is able to resolve the adhesion problem of the dielectric layer with low dielectric constant.
    Type: Grant
    Filed: February 1, 1999
    Date of Patent: August 7, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Juan-Yuan Wu, Water Lur
  • Patent number: 6265780
    Abstract: An improved dual damascene structure is provided for use in the wiring-line structures of multi-level interconnects in integrated circuit. In this dual damascene structure, low-K (low dielectric constant) dielectric materials are used to form both the dielectric layers and the etch-stop layers between the metal interconnects in the IC device. With this feature, the dual damascene structure can prevent high parasite capacitance to occur therein that would otherwise cause large RC delay to the signals being transmitted through the metal interconnects and thus degrade the performance of the IC device. With the dual damascene structure, such parasite capacitance can be reduced, thus assuring the performance of the IC device.
    Type: Grant
    Filed: December 1, 1998
    Date of Patent: July 24, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Tri-Rung Yew, Yimin Huang, Water Lur, Shih-Wei Sun
  • Patent number: 6265313
    Abstract: A method of manufacturing copper interconnects includes the steps of first providing a semiconductor substrate having a dielectric layer thereon. The dielectric layer further includes a copper layer embedded within. An inter-metal dielectric layer is deposited over the dielectric layer. A via opening and a trench opening that exposes a portion of the copper layer are formed in the inter-metal dielectric layer. A thin barrier layer is formed over the exposed copper layer at the bottom of the via opening. The bottom part of the via opening is bombarded by atoms until the copper layer is exposed. Copper material is deposited to fill the via opening and the trench opening, thereby forming a damascene structure.
    Type: Grant
    Filed: November 13, 1998
    Date of Patent: July 24, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Yimin Huang, Tri-Rung Yew, Water Lur
  • Patent number: 6251769
    Abstract: A method of manufacturing a contact pad. A substrate having a source/drain region formed therein is provided. A dielectric layer is formed over the substrate. An opening is formed in the dielectric layer and exposes the source/drain region. A selective epitaxial process is performed to form a contact pad in the opening, wherein a top of the contact pad extends onto a surface of the dielectric layer.
    Type: Grant
    Filed: July 2, 1999
    Date of Patent: June 26, 2001
    Assignee: United Microelectronics Corp
    Inventors: Tri-Rung Yew, Kuo-Tai Huang, Water Lur