Patents by Inventor Wen-Yi Hsieh

Wen-Yi Hsieh has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 6218238
    Abstract: A method of fabricating a DRAM capacitor uses tungsten nitride in the process of forming a capacitor. The structure of the capacitor is simple and the process is easily executed. Furthermore, the invention provides a method of forming tungsten nitride, comprising a step of implanting nitrogen into a tungsten silicide layer and a step of executing a rapid thermal process under ammonia gas to form a tungsten nitride layer on the surface of the tungsten silicide layer. The method of fabricating a DRAM capacitor comprises forming the tungsten silicide layer after forming a part smaller than a bottom electrode of the capacitor from doped polysilicon and forming tungsten nitride on the surface of the tungsten nitride layer.
    Type: Grant
    Filed: October 14, 1998
    Date of Patent: April 17, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Kuo-Tai Huang, Wen-Yi Hsieh, Tri-Rung Yew
  • Patent number: 6180451
    Abstract: A method of forming a DRAM capacitor. A hemispherical grain structure is formed on the surface of the bottom electrode of the capacitor. By employing an additional annealing under a dopant contained ambient, the dopant is diffused into the hemispherical grain structure and distributed at the surface area of the hemispherical grain region.
    Type: Grant
    Filed: October 1, 1998
    Date of Patent: January 30, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Yi Hsieh, Juan-Yuan Wu, Water Lur
  • Patent number: 6180484
    Abstract: The present invention proposes a method for forming a tungsten film with a good surface property and utilizes a chemical plasma treatment to round the tungsten surface and to improve the leakage issue of tungsten conductive film. A fabrication of a DRAM cell capacitor with tungsten bottom electrode is described for a preferred embodiment. Forming an inter-layer dielectric on a semiconductor substrate, a tungsten layer is formed thereon. A chemical plasma treatment is carried out to round the tungsten surface spires and result in a better surface properties. The tungsten layer is patterned to serve as the bottom electrode, and another dielectric layer is formed to cover the bottom electrode of tungsten. Finally, the top storage electrode is formed to finish the present process.
    Type: Grant
    Filed: August 26, 1998
    Date of Patent: January 30, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Chih Wang, Wen-Yi Hsieh
  • Patent number: 6174765
    Abstract: A method of reducing the leakage current of a dielectric layer of a capacitor. A substrate having a dielectric layer formed thereon is disposed into a furnace. A first annealing step is performed for nucleation. A second annealing step is performed to control the number of the nuclei. A third annealing step is performed for grain growth.
    Type: Grant
    Filed: January 21, 1998
    Date of Patent: January 16, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Yi Hsieh, Kuo-Tai Huang
  • Patent number: 6174812
    Abstract: A copper-palladium alloy damascene technology applied to the ultra large scale integration (ULSI) circuits fabrication is disclosed. First, a TaN barrier is deposited over an oxide layer or in terms of the inter metal dielectric (IMD) layer. Then a copper-palladium seed is deposited over the TaN barrier. Furthermore, a copper-palladium gap-fill electroplating layer is electroplated over the dielectric oxide layer. Second, a copper-palladium annealing process is carried out. Then the copper-palladium electroplating surface is planarized by means of a chemical mechanical polishing (CMP) process. Third, the CoWP cap is self-aligned to the planarized copper-palladium alloy surface. Finally, a second IMD layer is deposited over the first IMD layer. Furthermore, a contact hole in the second dielectric layer over said CoWP cap layer is formed, and then the CoWP cap of the first IMD layer is connected with the copper-palladium alloy bottom surface of the second IMD layer directly.
    Type: Grant
    Filed: June 8, 1999
    Date of Patent: January 16, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Chiung-Sheng Hsiung, Wen-Yi Hsieh, Water Lur
  • Patent number: 6169028
    Abstract: A method for fabricating a metal interconnect structure. A semiconductor substrate comprising a conductive layer therein is provided. A dielectric layer is formed on the semiconductor substrate. A part of the dielectric layer is removed to form a dual damascene opening and a trench therein, wherein the dual damascene opening exposes the conductive layer. The trench is larger than the dual damascene opening. A conformal barrier layer is formed on the dielectric layer. A conformal metal layer is formed on the barrier layer to fill the dual damascene opening and to partially fill the trench. The metal layer positioned in the trench has a thickness equal to the depth of the trench. A conformal cap layer is formed on the metal layer. A CMP process is performed to remove the cap layer, the metal layer and the barrier layer outside the trench and outside the dual damascene opening.
    Type: Grant
    Filed: January 26, 1999
    Date of Patent: January 2, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Chih Wang, Ming-Sheng Yang, Wen-Yi Hsieh
  • Patent number: 6156600
    Abstract: A method for fabricating a capacitor in an integrated circuit, using tantalum oxide as the dielectric layer to obtain a higher capacitance. A barrier layer is formed between the polysilicon layer and the tantalum oxide layer to prevent the formation of a silicon oxide layer. Thus, that capacitance of the capacitor is not reduced by the additional thickness of the silicon oxide layer.
    Type: Grant
    Filed: November 17, 1998
    Date of Patent: December 5, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Fang-Ching Chao, Wen-Yi Hsieh, Kuo-Tai Huang
  • Patent number: 6146941
    Abstract: A fabricating method of a capacitor includes two gates and a commonly used source/drain region formed on a substrate. Then, a process of sell align contact has been applied to make a pitted self align contact window (PSACW) to partly expose the commonly used source/drain region. Then an glue/barrier layer and a lower electrode of the capacitor are formed over the PSACW. Then a dielectric thin film with a material having high dielectric constant is formed over the lower electrode. Then, an upper electrode is formed over the dielectric thin film to complete a capacitor, which has a structure of metal insulator metal with a shape like the PSACW.
    Type: Grant
    Filed: August 3, 1998
    Date of Patent: November 14, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Kuo-Tai Huang, Wen-Yi Hsieh, Tri-Rung Yew
  • Patent number: 6146742
    Abstract: A method for forming a barrier/glue layer above the polysilicon layer of a MOS transistor gate comprising the step of providing a semiconductor substrate, and then forming a gate oxide layer above the substrate. Next, a polysilicon layer is formed over the gate oxide layer. Thereafter, a titanium layer is deposited over the polysilicon layer first, and then a titanium nitride layer is deposited above the titanium layer. This titanium/titanium nitride bi-layer is capable of increasing the adhesive strength with a subsequently deposited tungsten silicide layer, and preventing the peeling of the tungsten silicide layer. Furthermore, the titanium nitride layer acts as a barrier for fluorine atoms preventing their diffusion to the gate oxide layer/polysilicon layer interface, and affecting the effective thickness of the gate oxide layer. In the subsequent step, a tungsten suicide layer is formed above the titanium nitride layer.
    Type: Grant
    Filed: March 3, 1998
    Date of Patent: November 14, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Yi Hsieh, Chi-Rong Lin, Horng-Bor Lu, Jenn-Tarng Lin
  • Patent number: 6083789
    Abstract: A method for forming a DRAM capacitor whose titanium nitride electrode is fabricated in a sequence of steps that results in a good step-coverage. Moreover, contamination of the titanium nitride layer and cross-diffusion between the titanium nitride layer and the dielectric film layer is reduced to a minimum. The method of forming the titanium nitride layer includes the steps of depositing a first titanium nitride layer over a dielectric film layer using a conventional physical vapor deposition process. Then, a second titanium nitride layer is deposited over the first titanium nitride layer using a collimated physical vapor deposition process.
    Type: Grant
    Filed: April 14, 1998
    Date of Patent: July 4, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Kuo-Tai Huang, Wen-Yi Hsieh, Tri-Rung Yew
  • Patent number: 6080660
    Abstract: A method for manufacturing a via structure comprising the steps of providing a semiconductor substrate, and then forming conductive line and dielectric layer over the substrate. Next, a photolithographic and a first etching operation are conducted so that an opening in the dielectric layer exposing the conductive line surface is formed. The first etching operation uses several etchants including fluorobutane, which has the highest concentration. Since there is a re-entrance structure at the bottom of the opening, a second etching operation is performed. In the second etching operation, a portion of the conductive line is etched for a fixed time interval to control the degree of etching. Consequently, a slanting surface is formed at the bottom of the opening and the re-entrance structure is eliminated. With a planarized bottom, step coverage of subsequently deposited material is increased.
    Type: Grant
    Filed: February 27, 1998
    Date of Patent: June 27, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Chih Wang, Hsiao-Pang Chou, Wen-Yi Hsieh, Tri-Rung Yew
  • Patent number: 6078492
    Abstract: A structure of a capacitor includes two gates and a commonly used source/drain region on a substrate. Then, a pitted self align contact window (PSACW) partly exposes the commonly used source/drain region. Then an glue/barrier layer and a lower electrode of the capacitor are over the PSACW. Then a dielectric thin film with a material having high dielectric constant is over the lower electrode. Then, an upper electrode is over the dielectric thin film to complete a capacitor, which has a structure of metal insulator metal with a shape like the PSACW.
    Type: Grant
    Filed: August 3, 1998
    Date of Patent: June 20, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Kuo-Tai Huang, Wen-Yi Hsieh, Tri-Rung Yew
  • Patent number: 6057189
    Abstract: A method of fabricating a capacitor, comprising the steps of: providing a conductive layer over a semiconductor substrate having a transistor formed thereon to connect a source/drain region of the transistor; forming a hemispherical grained silicon layer over the conductive layer; using an implantation method to implant ions into the hemispherical grained silicon layer; performing a thermal treatment process to convert the ions into a barrier layer over the hemispherical grained silicon layer; performing a wet etching process to clean a surface of the barrier layer; forming a dielectric layer over the barrier layer and forming a top electrode over the dielectric layer.
    Type: Grant
    Filed: February 17, 1998
    Date of Patent: May 2, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Kuo-Tai Huang, Wen-Yi Hsieh, Wen-Kuan Yeh, Tri-Rung Yew
  • Patent number: 6048796
    Abstract: A method is described for manufacturing a multilevel metal interconnects. The method comprises the steps of providing a substrate and then forming a wire on the substrate. A dielectric layer is formed on the substrate and the wire and a protective layer is formed on the dielectric layer. An opening is formed by patterning the protective layer and the dielectric layer and a barrier layer is formed on the protective layer and in the opening. A copper layer is formed on the barrier layer and fills the opening. A portion of the copper layer and the barrier layer are removed by chemical-mechanical polishing.
    Type: Grant
    Filed: December 15, 1998
    Date of Patent: April 11, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Chih Wang, Wen-Yi Hsieh, Yimin Huang, Chih-Chien Liu, Water Lur
  • Patent number: 6048788
    Abstract: A method of forming a metal plug. A contact window is formed to penetrate through a dielectric layer on a substrate having a MOS formed thereon. A titanium glue layer is formed on the dielectric layer and the circumference of the contact window. A titanium barrier layer is formed on the titanium nitride layer. Using nitrogen plasma bombardment on the titanium nitride layer, the structure of the titanium nitride layer is transformed. The number of the nucleation seeds is increased, and the size of grains is reduced. A metal layer is formed on the titanium nitride layer and fills the contact window. A part of the metal layer is removed and a metal plug within the contact window is formed.
    Type: Grant
    Filed: January 20, 1998
    Date of Patent: April 11, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Hung-Yi Huang, Wen-Yi Hsieh, Chi-Rong Lin, Jenn-Tarng Lin
  • Patent number: 6046097
    Abstract: A deposition method for improving the step coverage of contact holes is disclosed. The method includes initially placing a semiconductor substrate on a chuck of a chamber, wherein the substrate has some contact holes. The chuck is firstly adjusted and conductive material is firstly deposited onto the substrate, wherein the direction of the first deposition is about vertical to the surface of the substrate, and therefore the bottom of the contact holes is then substantially deposited with the conductive material. Next, the chuck is secondly adjusted so that it has a tilt angle between the direction of the second deposition and rotation axis of the chuck. Finally, the chuck is continuously rotated and the conductive material is secondly deposited onto the substrate, and therefore the sidewall of the contact holes is then substantially deposited with the conductive material.
    Type: Grant
    Filed: March 23, 1999
    Date of Patent: April 4, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Kevin Hsieh, Kun-Chih Wang, Wen-Yi Hsieh
  • Patent number: 6022795
    Abstract: A method of making a semiconductor device including a MOS transistor provides an insulator formed on a semiconductor substrate and a gate electrode formed on the insulator. Source/drain regions are formed within the substrate on either side of the gate electrode. A layer of titanium is sputtered onto the semiconductor device, and a layer of titanium nitride is direct sputtered over the titanium layer using a titanium nitride target. The device is annealed at a first temperature to form a structure including titanium silicide on the polysilicon electrode, titanium silicide on the surface of the source/drain regions, unreacted titanium over the silicide regions, and titanium nitride over the unreacted metal. The unreacted titanium and titanium nitride are removed from the structure, and the structure is annealed at a higher temperature than the first temperature to form a lower resistivity titanium silicide.
    Type: Grant
    Filed: May 7, 1998
    Date of Patent: February 8, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Tung-Po Chen, Hong-Tsz Pan, Wen-Yi Hsieh
  • Patent number: 6001746
    Abstract: The present invention provides a method of forming an undoped silicate glass layer on a semiconductor wafer by performing a high density plasma chemical vapor deposition process. The semiconductor wafer being positioned in a deposition chamber. The method comprises forming the undoped silicate glass layer by performing the high density plasma chemical vapor deposition process in the deposition chamber under the following conditions: an argon (Ar) flow rate of 40 to 70 sccm (standard cubic centimeter per minute); an oxygen (O.sub.2) flow rate of 90 to 120 sccm; a silane flow rate of 70 to 100 sccm; a gas pressure of 3 to 10 mtorr; a temperature of 300 to 400.degree. C.; and a low frequency power of 2500 to 3500 watts. Wherein the ratio of Ar to O.sub.2 is 0.53, and O.sub.2 to silane is 1.23.
    Type: Grant
    Filed: May 20, 1999
    Date of Patent: December 14, 1999
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Yuan Tsai, Chih-Chien Liu, Wen-Yi Hsieh, Water Lur
  • Patent number: 5994181
    Abstract: A polysilicon layer is subsequently deposited on the dielectric layer by using CVD. Next, photolithography and etching process are used to etch the doped polysilicon layer, and form a bottom electrode of DRAM cell capacitor with U shape in cross section view. The next step of the formation is the deposition of a dielectric film along the surface of the bottom electrode of DRAM cell capacitor. Typically, the dielectric film is preferably formed of high dielectric film such as tantalum oxide (Ta.sub.2 0.sub.5). A conductive layer is deposited over the dielectric film. The conductive layer is used as the top storage node and is formed of titanium nitride(TiN). The methods of forming the top storage node, including sputtered-TiN, collimated-sputtering TiN, and CVD/MOCVD-TiN deposition. The purposes of sputtered-TiN and collimated-sputtering TiN processes can improve the poor step coverage of deep well of bottom electrode of DRAM cell capacitor and protect the Ta.sub.2 0.sub.
    Type: Grant
    Filed: May 19, 1997
    Date of Patent: November 30, 1999
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Yi Hsieh, Tri-Rung Yew
  • Patent number: 5994183
    Abstract: A method for forming a high capacitance charge storage structure that can be applied to a substrate wafer having MOS transistor already formed thereon. The method is to form an insulating layer above the substrate wafer. Next, a contact window exposing a source/drain region is formed in the insulating layer. Then, a tungsten suicide layer, which functions as a lower electrode for the charge storage structure, is formed over the substrate. Thereafter, a tungsten nitride layer is formed over the tungsten silicide layer, and then a dielectric layer is formed over the tungsten nitride layer. The dielectric layer is preferably a tantalum oxide layer. Finally, a titanium nitride layer, which functions as an upper electrode for the charge storage structure, is formed over the tantalum oxide layer.
    Type: Grant
    Filed: December 23, 1997
    Date of Patent: November 30, 1999
    Assignee: United Microelectronics Corp.
    Inventors: Kuo-Tai Huang, Wen-Yi Hsieh, Tri-Rung Yew