Multi-stage-heating thermal reactor for transport polymerization

- DIELECTRIC SYSTEMS, INC.

A multi-stage transport polymerization (“TP”) reactor useful for making a thin film for the fabrication of integrated circuits. One TP reactor has two distinct heating zones that facilitate the cracking of specific precursor materials. The multi-stage reactor comprises a first low temperature heating zone that heats incoming precursor materials to a temperature that is lower than the “cracking” temperature of the precursor. The second heating zone is maintained at a temperature useful for breaking the chemical bonds of a desired leaving groups in the selected precursor. Specialized heating bodies, which transfer heat to the precursor material in the low and high temperature zones, are used as elements of the invention that can simultaneously decrease the total volume and increase the inside surface area of the TP reactor. Chemistries of precursors used in the multi-stage reactor are also provided.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND

[0001] This invention is related to semiconductor equipment that is useful for the fabrication of an integrated circuit (“IC”). In particular, this invention is related to a multi-stage-heating thermal reactor for transport polymerization (“TP”) that is useful for deposition of low dielectric (“&egr;”) thin films in integrated circuits (“IC”). Furthermore, the multi-stage TP reactor disclosed in this invention allows for a high precursor deposition rate and an in-situ cleaning capacity. This invention also discloses methods of application for the multi-stage thermal reactor, and chemistries of precursor materials used in the multi-stage TP reactor.

[0002] During the construction of ICs with shrinking device geometries, an increase in capacitance, mainly on the same layer of interconnects can result in unacceptable crosstalk and RC delay. This RC delay has become a serious problem for ICs with feature size of less than 0.18 &mgr;m. Thus, the dielectric constant of current insulation materials from which IC'are constructed must be decreased to meet the needs for fabrication of future ICs. In addition to dielectric and conducting layers, the “barrier layer” may include metals such as Ti, Ta, W, and Co and their nitrides and silicides, such as TiN, TaN, TaSixNy, TiSixNy, WNx, CoNx and CoSiNx. Ta is currently the most useful barrier layer material for the fabrication of IC'that currently use copper as conductor. The “cap-layer” or “etch-stop-layer” normally consists of dielectric materials such as SiC, SiN, SiON, SiyOx and its fluorinated silicon oxide (“FSG”) and SiCH. Thus, the new dielectric materials must also withstand many other manufacturing processes following their deposition onto a substrate.

[0003] Currently, there are two groups of low &egr; dielectric materials, which include an inorganic group exemplified by SiO2, and its F— and C & H modified derivatives respectively called FSG and SiCOH and an organic group exemplified by an aromatic polymer called SiLK supplied by Dow chemical Company. The inorganic and polymer thin films are prepared respectively by Chemical Vapor Deposition (“CVD”) and Spin-On processes. These current dielectric materials used in the manufacturing of the ICs have already proven to be inadequate in several ways for their continued usage in production of future IC'. For example, all of the above listed materials have high dielectric constant (≧2.7) and marginal Young'Modulus (2.5 to 3.5 GPa).

[0004] In this invention, a Transport Polymerization (“TP”) process is used to deposit dielectric films with lower dielectric constant (≦2.3) and higher Young'modulus. During TP, a precursor molecule is split (cracked) to yield a reactive radical intermediate, which upon deposition onto the wafer can bind with other reactive intermediate molecules to form a polymer. Many polymers are needed to deposit onto wafers to form a thin film.

[0005] The thermal TP process has been used for deposition of various Poly(Para-Xylylene) (“PPX”) for more than 30 years. However, these PPX have low decomposition temperature (“Td”) and the dielectric constant of the resulting polymer (&egr;=3.4−2.70) was not suitable for fabrication of future ICs (Selbrede and Zucker, Proc. 3d Int. DUMIC Conference, 121-124, 1997). The Td of the thin film needs to withstand temperatures greater than 400° C. for future IC applications,. Wang et al., Proc. 3d Int. DUMIC Conference, 125-128 (1997) reported that annealing a deposited layer of PPX increases the thermal stability, but even then, the subsequent weight loss of polymer was too much to be useful for future IC manufacturing. Wary et al. (Semiconductor International, June 1996, pp: 211-216) used the fluorinated dimmer (e.g. cyclo-((&agr;, &agr;,&agr;1,&agr;1), tetrafluoro-di-p-xylylene) and a thermal TP process to make AF-4 of the structural formula: {—CF2—C6H4—CF2—}n. Films made from AF-4 were shown to have a dielectric constant of 2.28, and have increased thermal stability compared to the other PPX. Under nitrogen atmosphere, a polymer made of AF-4 lost only 0.8% of its weight over 3 hours at 450° C.

[0006] The current commercial or laboratory deposition systems used for TP of dimers primarily consist of (1) a vaporizer for the solid dimers, (2) a pyrolyzer to crack the dimmers, and (3) a deposition chamber. U.S. Pat. No. 5,268,202 describes a “one chamber system” for transport polymerization of liquid monomers such as Dibromotetrafluor-p-xylene (“DBX”) and 1,4-bis-(trifluoromethyl) benzene (“TFB”). In their deposition system, both the pyrolyzer and the wafer are situated inside the same vacuum chamber. The system also utilizes a resistive heater to crack the DBX and TFB. All current pyrolyzers utilize metal parts that potentially leach out metal ions under high temperature (>600 to 800° C.). These metal ions result in metallic contamination of deposited thin films. Moreover, the precursor inlet and outlet ports are on the same end of the chamber, namely at the end opposite the end where the wafer is held. Further, the wafer is protected by a heat shield that must be kept close to the heat source, and thus, is not ideally suited to act as a diffusion plate to ensure the even distribution of intermediates onto the wafer surface. Thus, deposition of precursors onto the wafer surface is not easily controlled and the thickness of dielectric films cannot be made uniform over the entire wafer surface.

[0007] The current invention avoids several problems that are encountered by existing equipment used for TP processes. The current invention describes a multi-stage transport polymeraization (“TP”) reactor designed to crack specific precursor materials, which are also described for this invention. The multi-stage TP reactor avoids several problems of prior art by pre-heating the precursors in one stage of the TP reactor and cracking the precursor in a second stage of the TP reactor before transporting the reactive intermediate molecules into a deposition chamber. Many prior art methods of heating precursors have traditionally applied heat to the entire TP reactor at a single heating temperature. However, the present invention describes why such uniform heating should be avoided. For example, uniform heating allows precursors to gain enough thermal energy to crack into the desired reactive intermediates inside the TP reactor, however, the reactive intermediates can back diffuse into the TP reactor and result in coke formation inside the reactor instead of a film in the deposition chamber. By heating the precursor molecules in two different heating zones, which are kept at two different temperatures, it is possible to maximize the cracking efficiency for the precursor. Moreover, the concentration of the transported intermediates may be kept low, to discourage re-dimerization of intermediates.

SUMMARY

[0008] One object of this invention is to provide a multi-stage transport polymerization (“TP”) reactor useful for making a thin film from precursors to be utilized in the fabrication of integrated circuits. More specifically, this invention describes a multi-stage TP reactor that comprises two distinct heating zones that facilitate the efficient cracking of precursor materials. For example, the first heating zone is a low temperature zone that heats incoming precursor materials to a temperature that is lower than the “cracking” temperature of the precursor. The second heating zone is maintained at a high enough temperature to be useful for breaking the chemical bonds of a desired leaving groups in the precursor, but not high enough to break the chemical bonds of other leaving groups in the precursor molecule. Specialized heating bodies, which transfer heat to the precursor material in the low and high temperature zones, are described as elements of the invention that can simultaneously decrease the inside volume, and increase the inside surface area of the multi-stage TP reactor. Each of the heating zones, and the heating bodies are parts of a vacuum vessel with separate inlet and outlet areas. The various materials that can be used to fabricate the multi-stage TP-reactor are also revealed. Different energy sources used to elevate the temperature in the different heating zones include: irradiation, thermal, plasma, or microwave energy. Since the multi-stage TP reactor was designed to process specific organic precursor molecules, coke formation on the heater bodies was inevitable, therefore, a reactor cleaning subsystem is disclosed. Other objects, aspects and advantages of the invention can be ascertained from the review of the detailed disclosure, of the examples, the figures and the claims.

BRIEF DESCRIPTION OF THE DRAWINGS

[0009] FIG. 1 shows the four main components of a deposition system for transport polymerization;

[0010] FIG. 2 shows a side cross-section of a multi-stage closely-packed-ball (“CPB”) reactor that consists of an outside heater, an inner heater, a thermal couple, ceramic balls, an inlet, an outlet, and an insulation container for the reactor;

[0011] FIG. 3 shows a top cross-section view of a multi-stage closely-packed-ball (“CPB”) reactor with the packing of the ceramic balls inside the reactor; and both quartz tubes are partially filled with ceramic balls for clarity;

[0012] FIG. 4a shows a cross section of a triple-wall reactor that can be constructed using an IR transparent material; and

[0013] FIG. 4b shows the cross section of the triple-wall reactor with preferred dimensions.

DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS

[0014] For the past 20 years, the integrated circuit (IC) device density has doubled about every 18 months. The present lack of methods and qualified low dielectric materials now threatens to derail the continued shrinkage of future IC's. This invention discloses a multi-stage transport polymerization (“TP”) reactor useful for making thin films from low dielectric precursors to be utilized in the fabrication of integrated circuits. More specifically, this invention describes a multi-stage TP reactor that comprises two distinct heating zones that facilitate efficient cracking of precursor materials. The first heating zone is a low temperature zone that heats incoming precursor materials to a temperature that is lower than the “cracking” temperature of the precursor. The second heating zone is maintained at a high enough temperature to be useful for breaking the chemical bonds of a desired leaving groups in the precursor, but not high enough to break the chemical bonds of other leaving groups in the precursor molecule. Specialized heating bodies, which transfer heat to the precursor material in the low and high temperature zones, are described as elements of the invention that can simultaneously decrease the inside volume, and increase the inside surface area of the multi-stage TP reactor. Each of the heating zones, and the heating bodies part of a vacuum vessel with separate inlet and outlet areas. The various materials that can be used to fabricate the multi-stage TP-reactor are also revealed. Different energy sources used to elevate the temperature in the different heating zones include: irradiation, thermal, plasma, or microwave energy. Because the multi-stage TP reactor was designed to process specific organic precursor molecules, coke formation on the heater bodies was inevitable; therefore, a reactor cleaning subsystem is discussed. Other objects, aspects and advantages of the invention can be ascertained from the review of the detailed disclosure, of the examples, the figures and the claims.

[0015] Conventional Chemical Vapor Deposition (“CVD”)

[0016] There are several fundamental differences between a transport polymerization (“TP”) process and a conventional Chemical Vapor Deposition (“CVD”) process. Additionally, there are distinctive differences in the multi-stage TP reactors described in the current invention when compared to the reactors of a conventional CVD or TP system.

[0017] Although not wanting to be bound by theory, the plasma polymerization process has many inherent drawbacks. For example, feed chemical can produce different reacting species or different compositions due to the non-selective cracking of chemical bonds by the plasma. Additionally, during plasma polymerization, free radicals, anions, and ions that contain various reactive sites on each intermediate will also be generated. Since these intermediates have different molecular orbital configurations, they will not react toward each other, and result networks of un-reacted chain ends. In addition, when more than 15 to 20 molar % of multi-functional intermediates consisting of more than two reactive sites are present inside chamber, most of these reactive sites will be trapped inside the polymer networks or become chain ends. Films with reactive sites may have poor electrical properties and chemical stability without further post deposition treatment. Post deposition annealing can eliminate these reactive chain ends, and avoid later reactions of these reactive chain ends with undesirable chemicals such as water or oxygen.

[0018] Another drawback of plasma polymerization is the types of reactive intermediates that are produced. Plasma polymerization can produce many different kinds of reactive intermediates, including the very corrosive fluorine ions. If the substrate is heated to remove low molecular weight products, corrosive species and un-reacted impurities, corrosion of underlying layer can become a serious problem in the presence of corrosive species such as a fluorine ion.

[0019] Another shortcoming of a plasma process is the presence of many polymer chain-ends and pending short chains in polymer networks that result in high dielectric loss. Thus, the resulting dielectric may not be useful for high frequency (≧GHz) applications, which are critical to most future IC applications. Although increasing the power levels can lower the amount of chain ends, but the resultant films will have very high cross-linking density that resulted in high residual stress and high dielectric constants.

[0020] Transport Polymerization (“TP”) Process Modules (“PM”)

[0021] Whereas all conventional CVD processes have failed to make useful Ta-compatible thin films with a &egr;<2.7, transport polymerization (“TP”) may become a primary approach for making dielectric films that are useful for fabrications of future ICs. Some of the important chemistries and mechanisms involved during TP has been reviewed previously (Chung Lee, “Transport Polymerization of Gaseous Intermediates and Polymer Crystals Growth” J. Macromol. Sci-Rev. Macromol. Chem., C16 (1) 79-127 (1977-78), pp79-127) and are hereby incorporated by reference.

[0022] In contrast to conventional CVD, transport polymerization (“TP”) employs known chemical processes to generate desirable reactive intermediates among other chemical species. Chemical processes that are particularly useful for this invention include photolysis and thermolysis. These two chemical processes can generate useful reactive intermediates such as carbenes, benzynes and other types of diradicals using appropriate precursors.

[0023] Photolysis is a chemical process by which molecules are broken down into reactive intermediates through the absorption of light. Photolysis can be accomplished by irradiation of compounds using electrons, UV or X-ray. However, high energetic electron and X-ray sources are expensive and typically not practical for reactors useful for this invention. When a UV photolytic process is used, precursor molecules with special leaving groups are normally required. For example, reactive intermediates such as carbenes can be generated by the UV photolysis of precursors that bear ketene or diazo groups. However, these types of precursors normally are expensive and not practical to use due to their very unstable nature at ambient temperatures. Other precursors and chemistry have been used for generating reactive intermediates and discussed in prior art (C. J. Lee, “Transport Polymerization of Gaseous Intermediates and Polymer Crystals Growth” J. Macromol. Sci-Rev. Macromol. Chem., C16 (1), 79-127 (1977-78), pp79-127). However, most of these precursors are quite expensive to prepare and are not readily available, thus they are not desirable nor practical for IC fabrications outlined in the current invention.

[0024] Thermolysis has been used for TP of poly (Para-Xylylenes) (“PPX”) for the coating of circuit boards and other electronic components since early 1970s. Currently, all commercial PPX dielectric films are prepared by the Gorham method (Gorham et al., U.S. Pat. No. 3,342,754, 1967). The Gorham method employed dimer precursor (I) that cracks under high temperatures (e.g. 600° C. to 680° C.) to generate a reactive and gaseous diradical (II) under vacuum. When adsorbed onto cold solid surfaces, the diradical (II) polymerizes to form a polymer film. 1

[0025] Since then, several commercialized products have appeared with similar chemical structures. These films have dielectric constants, &egr; ranging from 2.5 to 3.2. However, they were not thermally stable at temperatures higher than 300° C. to 350° C., and were not useful for fabrications of future ICs that require dielectric with lower F and better thermal stability. The PPX films were prepared by polymerization of their corresponding reactive diradical intermediates via transport polymerization. (Lee, J., Macromol, et al., Sci-Rev. Macromol. Chem., C16(1) (1977-78)). Examples of other PPX films and their repeat units resulting from polymerization of the diradical intermediates include commercially available products, such as: PPX—N (—CH2—C6H4—CH2—); PPX-D (—CH2—C6H2Cl2—CH2); PPX—F (—CF2—C6F4—CF2—); and PPX—NF (—CF2—C6H4—CF2—). PPX—F has &egr;=2.23. It is thermally stable up to 450° C. over 2.5 hours in vacuum. Therefore, rigorous attempts have been made to make PPX—F from dimer (—CF2—C6H4—CF2—) (Wary et al., Proceedings, 2nd Intl. DUMIC, 1996 pp207-213; ibid, Semiconductor Int'l, 19(6), 1996, p211-216) using commercially available equipment. However, these efforts were abandoned due to high cost of the dimer and incompatibility of the barrier metal (e.g. Ta) with PPX—F films prepared by TP (Lu et al., J. Mater. Res., Vol. 14(1), p246-250, 1999; Plano et al., MRS Symp Proc., Vol. 476, p213-218, 1998— these cited articles are herby incorporated by reference.

[0026] Many commercial process modules have been available for deposition of PPX since early 1970, and comprise of primarily the same four main components, as shown in the FIG. 1. For example a sample holder 105 is in fluid communication with the reactor 120 through a needle valve 110. The deposition chamber 130 is in fluid communication with the reactor 120 and the cold trap 140. Additionally the entire system is connected to a vacuum system connected to the cold trap 140.

[0027] In these process modules, a resistive heater and a stainless steel reactor (i.e. pyrolyzer) is used to crack dimers. Additionally, a tubular quartz reactor has been used in a laboratory system to crack a dimer (e.g. {—CH2—C6H4—CH2—}2 as shown above in equation (I)) and for making PPX—N (Wunderlich and associates (Wunderlich et al., Jour. Polymer. Sci. Polymer. Phys. Ed., Vol. 11, (1973), pp 2403-2411; ibid, Vol. 13, (1975), pp1925-1938). It is important to note that a PPX—N dimer (e.g. {—CH2—C6H4—CH2—}2) bears no halogen, and thus there was no potential corrosion of the stainless steel reactor during preparation of PPX—N. In other words, a stainless steel pyrolyzer can only be used for a dimer that has halogens on a Sp2C carbon to make PPX-D, but it is not compatible with a precursor consisting of halogens on the Sp3C. For example, when a precursor, such as: 2

[0028] is used, the iron inside the pyrolyzer'surfaces can react with the bromine if the temperature inside the pyrolyzer is higher than 450° C. to 500° C. The resulting iron bromide would contaminate the dielectric film and make it unsuitable for IC fabrications.

[0029] The U.S. Pat. No. 5,268,202 (“the Moore '202 Patent”) with Moore listed as inventor, teaches that a dibromo-monomer (e.g. IV={Br—CF2—C6Cl4—CF2—Br}) and a metallic “catalyst” (Cu or Zn) inside a stainless steel pyrolyzer can be used to generate reactive free radical (V) according to the reaction (3). However, to lower the cost of starting materials, a large proportion (>85 to 95 molar %) of a more readily available co-monomer with structure {CF3- C6H4- CF3} could be used to make PPX—F. 3

[0030] There are several key points that need to be addressed concerning the usage of the monomer (IV) in reaction (3). First, an earlier U.S. Pat. No. 3,268,599 (“the Chow '599 Patent”) with Chow listed as inventor, revealed the chemistry to prepare a dimmer as early as 1966. However, the Chow '599 Patent only taught the method to prepared dimer {CF2—C6H4—CF2}2 by trapping the diradical (V) in a solvent. Furthermore, the equipment and processing methods of the Chow '599 Patent employed were not suitable for making thin films that are useful for IC fabrications. Second, according to the Moore '202 patent, the above reaction (3) would need a cracking temperature ranging from 660-680° C., without using the “catalysts”. However, we found that metallic “catalysts” such as Zn or Cu would readily react with organic bromine at temperatures ranging from 300 to 450° C., the pyrolyzer temperatures employed by the Moore '202 Patent. Formation of metallic halides on surfaces of these “catalysts” would quickly deactivate these “catalysts” and inhibit further de-bromination shown in reaction (3). In addition, the presence of Zn and Cu halides inside a pyrolyzer would likely cause contamination for the process module and dielectric films on wafer. Third, cooling of reactive intermediate and wafer cooling could not be efficient because both the wafer holder and pyrolyzer were located inside a close system for the deposition chamber that was used in the Moore '202 Patent. Consequently, the process module used by the Moore '202 Patent cannot be useful for preparation of thin films of this invention.

[0031] The Multi-Stage TP Reactor

[0032] Instead of using a conventional tubular stainless steel pyrolyzer, the preferred embodiment of the present invention requires a specially designed multi-stage TP reactor that facilitates new precursor chemistries and deposition processes used to prepare low &egr; thin films. The multi-stage TP reactor needs to generate useful reactive intermediates with high efficiency and low side-reaction product from precursors that have a general chemical structure as shown in formula (VI). 4

[0033] wherein, no or m are individually zero or an integer, and (no+m) comprises an integer of at least 2 but no more than a total number of sp2C—X substitution on the aromatic group (“Ar”). Ar is an aromatic or a fluorinated-aromatic group. Z′ and Z″ are similar or different, and individually a hydrogen, a fluorine, an alkyl group, a fluorinated alkyl group, a phenyl group or a fluorinated phenyl group. X is a leaving group, and individually a —COOH, —I, —NR2, —N+R3, —SR, or —SO2R, wherein R is an alkyl, a fluorinated alkyl, aromatic or fluorinated aromatic group, and Y is a leaving group, and individually a —Cl, —Br, —I, —NR2, —N+R3, —SR, or —SO2R, or —OR, wherein R is an alkyl, a fluorinated alkyl, aromatic or fluorinated aromatic group. Furthermore, the aromatic is preferably a fluorinated aromatic group including, but not limiting to, the phenyl group, —C6H4−nFn; (n=0 to 4) such as —C6H4— and —C6F4—; the naphthenyl group, —C10H6−nFn— (n=0 to 6) such as —C10H6— and —C10F6—; the di-phenyl group, —C12H8−nFn—(n=0 to 8) such as —C6H2F2—C6H2F2— and —C6F4—C6H4—; the anthracenyl group, —C12H8−nFn; the phenanthrenyl group, —C14H8−nFn; the pyrenyl group, —C16H8−nFn— and more complex combinations of the phenyl and naphthenyl moieties, —C16H10−nFn—. Note that isomers of various fluorine substitutions on the aromatic moieties are also included in this invention.

[0034] The functional requirements for a multi-stage TP reactor are largely determined by chemical structure of leaving groups X and Y and chemical methods that used to remove them in reactor. The leaving groups can be removed from precursors of formula (VI) by several different chemical methods. The methods that generate reactive intermediates under vacuum or under inert atmosphere include, but are not limited to:

[0035] irradiation using photons or electrons

[0036] cracking using thermal heat,

[0037] plasma energy, or

[0038] microwave energy

[0039] In order for a multi-stage TP reactor to be useful for this invention, it must generate useful reactive intermediates with high efficiency and have low side reaction products. In essence, the multi-stage TP reactor temperature should be controlled closely and the temperature inside the reactor should be uniform versus the flow direction so that only desirable chemical reactions can take place. We found that tubular pyrolyzers that are used in commercial process modules do not meet critical temperature requirements for multi-stage TP reactor of this invention. For example, when a tubular pyrolyzer that was 8 inch long and 1.2 inch diameter was heated at 480° C. under 10 mTorrs vacuum, only a small region of the inner wall in the down stream areas reached the desirable 480° C., which was due to poor heat conduction under vacuum. Results obtained from calculations indicated that a large volume inside the pyrolyzer was at temperature far below 480° C. Thus, a tubular reactor does not satisfy the required high efficiency (>99.99%) for removing Br from a precursor of formula (IV) wherein, Y═Br, and the bond energy (“BE”) of the sp3C&agr;-Br bond equals 58 Kcal/Mole under few mTorrs. In fact, under such a condition, a majority of precursor material would pass through the tubular pyrolyzer without removal of Bromine.

[0040] One alternative is to increase the pyrolyzer temperature to 680° C. or higher. At these higher temperatures, the inside temperature of the pyrolyzer may achieve complete removal of Bromine from the precursor of formula (IV) (wherein Y═Br). However, at such high temperatures (e.g. ≧680° C.), some of the sp2C—H and sp3C—C bonds of the precursor (IV) and intermediates (V) respectively would also be broken. These undesirable reactions would result in formation of multi-functional (>2) radicals and “coke” formation inside the pyrolyzer. The resultant formation of a thick carbon deposit inside the pyrolyzer would further insulate heat conduction to the center region of the pyrolyzer, and would make the pyrolyzer even less effective. In addition, the multi-functional radicals would result in dielectric films consisting of many polymer chain ends. Thus, the resulting films produced in tubular pyrolyzers have poorer thermal stability and inferior electrical properties.

[0041] The problems associated with a precursor of formula (IV) (wherein Y═Br) will not occur when conventional dimers are employed. These conventional dimers (e.g. formula (I)) have a high ring strain energy (“Ers”) of about 31 Kcal/mole due to presence of two bulky benzene rings. The ring strain energy, in principle would lower the BE (76 Kcal/mole) of the sp3C&agr;-sp3C bonds in the dimers to bonding energy of a leaving group(“BEL”)=76 Kcal/mole minus 31 Kcal/mole, or BEL=45 Kcal/mole and reduce the required temperatures for a tubular pyrolyzer. It is important to note that the next weakest bond in the dimer is the sp3C&agr;-H bond that has a bonding energy of a core group(“BEC38 ) of about 88 kcal/mole, or a dimmer bond energy (“dBE”)=(BE)C-(BE)L=(88−45) or 43 Kcal/mole higher than that of the sp3C&agr;-sp3C bonds in the dimer. Therefore, under normal recommend pyrolyzer temperatures ranging from 620 to 640° C., the tubular pyrolyzer could provide a near 100% efficiency without apparent coke formation. However, under the identical pyrolyzer temperatures and vacuum conditions, a precursor such as in formula (IV) (wherein Y═Br), generate a large portion of un-reacted precursors that would form a thin film that is useless for IC fabrications.

[0042] In short, having a precursor that comprises of an appropriate designed chemical structure and leaving groups is only a necessary first step, but not sufficient for making thin films that are useful for fabrications of future ICs. In addition, a properly designed TP Reactor is needed. Accordingly, design requirements for TP Reactors will be different for desirable precursors that have different chemical structures and leaving groups. When precursors employed for the current invention meet specific criteria, a proper multi-stage TP reactor can then be designed accordingly.

[0043] Although not wanting to be bound by theory, the bonding energy for a leaving group (BE)L needs to be less than 65 to 70 Kcal/Mole. However, exceptions for this general rule can be found. For example, the ring-strained dimer of formula (I) as mentioned above. Additionally, the thermal removal of a desirable leaving group (e.g. carboxylic group) can occur at temperatures as low as 200 to 250° C. under ambient, and 300 to 400° C. under vacuum. This thermal pyrolysis could occur readily when the carboxylic is in its salt or ionic form, or when its resonant energy can lower the bonding energy of the carboxylic group. In addition, the (BE)L should be at least 25 to 30 Kcal/Mole, preferably 30-40 Kcal/Mole, lower than bonding energy of the 2nd weakest chemical bond that presented in the precursor. For instances, for precursor with formula (IV) (wherein m=0, n=2 and Y═Br), the BE for the leaving group is (“BEL”)=58 Kcal/mole, thus Z can be —F ((BE)C=96 Kcal/mole) and —Ar— can be {—C6H4—}. For such a precursor, the dBE is 38 Kcal/Mole, herein dBE=(BE)C-(BE)L. When this precursor is used, the maximum temperature variation across to the gas diffusion direction, (“dTr”) inside the TP Reactor can be as high as 150° C. to 190° C., and preferably no more than 120° C. to 130° C. When a TP Reactor had a dTr larger than 150° C. to 190° C., the resultant films contained impure chemicals that would result if the reactor temperature were too low. Coke formation would occur when a high reactor temperature was used and carbon would degrade the TP Reactor very shortly after deposition.

[0044] Although not wanting to be bound by theory, the maximumly allowed temperature variation (as expressed in ° C.) inside the TP Reactor should be equal to or less than 5 times, preferably 3 to 4 times, of the dBE in Kcal/Mole (i.e. “dTr≦5* dBE”). However, precursors with desirable chemical structures and leaving groups are often not available due to limited available synthetic schemes and starting materials, a TP Reactor with lower dTr will allow choices for using precursors that have smaller dBE. For example, when inside reactor temperature can be controlled to ±35° C., then precursors of formula (VI) that have m=n=1, Y═Br and I, X═Br and I and Z=F can be useful for this invention.

[0045] The preferred multi-stage TP reactor design of the current invention will incorporate the chemical properties of the precursor material. For example, the gas reactor will break up the selected precursors into intermediates and other side products at low pressure. The inside of the reactor is made of high purity materials that are inert to the chemical reactions of the selected precursors and their intermediates. The reactor relies on thermal energy (i.e. temperature) to carry out the reactions. Furthermore, the preferred reactor requires re-activation or cleaning after a specified period of film depositions, which can be accomplished by burning the organic residues inside the reactor in the presence of oxygen. Wherein, oxygen or air is fed through a mass flow controller (“MFC”) and a valve into the reactor. The resulting combustion products (mainly CO, CO2, H2O and other small organic compounds) can be pumped directly to the exhaust through the reactor by-pass line and valve. Accordingly, a multi-stage TP reactor has an inlet for precursor and an outlet for reaction products that generated from the reactor. In addition, the outlet also has a bypass for injection of oxygen during cleaning and its inlet has a bypass for exhaust of combustion products. Alternatively, a ceramic reactor can be also cleaned using oxidative plasma in conjunction with a plasma-cleaning device.

[0046] In a preferred embodiment of this invention, a thermal or photo-assisted thermal cracking process is employed to generate useful reactive intermediates from precursors described in the above. Therefore, a TP Thermal Reactor is comprised of a heater and an inside heater body for heating the precursor and an outside container for keeping the inside heater body under vacuum condition. Details of the material selection, heating methods, and heater body designs are discussed below. Heater body and heater element can be used as interchangeable terms.

[0047] Material Selections: The preferred materials selected for the container wall of the multi-stage TP reactor are selected and manufactured from one of a group of materials including, but not limited to quartz, sapphires or Pyrex glass, Alumina Carbide, Al2O3, surface fluorinated Al2O3, Silicon Carbide, Silicon Nitride. These conductive materials are resistant to halogen corrosion at temperatures as high as 680° C. When a container wall is a metallic material, the inside wall of the metallic container needed to be coated with one of the above ceramic material to prevent corrosion. The heater body can be constructed from these ceramic media with pores, small tubes, heating fins or spherical balls.

[0048] Heating Methods: The multi-stage TP reactor can be heated by several methods. However, in preferred embodiments of the present invention, a resistive heater, and an infrared (“IR”) heater are used. When a resistive heater is used, the inside heater body has physical contact(s) with inside wall of the multi-stage TP reactor. The inside heater body is heated primarily via conductance and some radiation. In this case, the heater body needs to have excellent thermal conductivity to maintain uniform temperature inside a vacuum. Without a proper design to take advantage of the radiation effect, the inside heater body will have high temperature variation especially if the heater body has poor conductivity.

[0049] In a preferred embodiment of the present invention, radiation provides the energy to heat the heater-bodies inside a vacuum. For example, an infrared (“IR”) heater or microwave can be used for heating the reactor. In U.S. Pat. No. 6,140,456 with Chung Lee et al listed as inventors (“the Lee '456 patent”), IR was used to crack precursors passing inside a vacuum quartz tube. The Lee '456 patent provides teachings that under few mTorrs of vacuum, IR is not effective due to the extremely short residence time of precursors inside reactor. Additionally the Lee '456 patent utilized microwave energy to generate plasma for transport polymerization. However, as was noted above plasma polymerization is not suitable for making useful low k of this invention.

[0050] An IR heater can be used to heat the heater body. Tungsten Halogen lamps are part of a preferred embodiment for an IR heater of the current invention. When an IR heater is utilized, the wall of multi-stage TP reactor should use an IR transparent material (e.g. quartz), so that IR can reach the inside heater body. Preferably, the inside heater body is an IR absorbing material such as Alumina carbide, Alumina Oxide and preferably Silicon carbide. The heater body consists of heater elements that can be a porous medium, small tubes, fins or spherical balls. These IR adsorbing elements can be placed as continuous media or be spaced inside the reactor, thus create an alternating heating and mixing zones inside the reactor. This type of reactor can generate more uniform heating for passing precursors and prevent back diffusion for intermediates. When an employed precursor exhibits strong absorption in the IR ranges for its leaving groups such as halogen and carboxylic acid, photon-assisted thermal cracking can enhance the reactor efficiency.

[0051] Alternatively, a resist heater can be used to heat a black body such as Silicon Carbide so the black body can generate IR in the ranges from 700 to 1200 cm−1. In conjunction, the outside wall of the multi-stage TP reactor should be constructed using a IR transparent material so that radiation can reach the inside of the multi-stage TP reactor.

[0052] As an alternative, the outside wall of the multi-stage TP reactor can also be constructed using a material that is not transparent to IR. For instance, the resist heater can be mounted directly onto the wall of the multi-stage TP reactor, while a black body such as SiC is inserted inside the multi-stage TP reactor. In this case, the black body inside the multi-stage TP reactor is heated to generate IR in the ranges from 700 to 1200 cm−1. Thus, the precursor vapor can be heated by the IR radiation inside the reactor.

[0053] IR heater can be manufactured from a single heating element of Iron-Chromium-Aluminum or Nickel-Chromium coil. This type of IR heater can ramp up in 10 to 20 second and has up to 60 Watts/in or higher of power; while a double wounded heating coil can ramp up in 5 seconds. In addition, a lamp consists of Tungsten filaments in vacuum or in the presence of Halogen can be used as IR heater for this invention. This type of IR lamp can provide up 60 Watts/in2 to 200 Watts/in2 or higher of power and can ramp up in 1-2 seconds, but it also needs air or water-cooling to operate. Commercial IR heaters are available for instance from Solar Products Inc. at Pompton Lakes in N.J.

[0054] Heater Body: Precursors gain thermal energy during heating by colliding with the heating elements or heater bodies inside the multi-stage TP reactor. Once a precursor molecule acquires sufficient thermal energy to meet or exceed the energy of activation, thermal cracking or breakage of the chemical bonds occurs. Therefore, before the thermal cracking can occur it is important that the heater body provides a sufficient surface area for the precursors to collide as they are transported through the multi-stage TP reactor. Although not wanting to be bound by theory, the required temperature for the heater body decreases as the resident time and/or number of collisions of the precursor increases for a specified precursor feed rate. Furthermore, the resident time of a precursor in the reactor for a given feed rate will increase as the volume of the reactor becomes larger. Thus, by increasing the surface area of the inside heater body, high reactor temperatures and large reactor volumes, can be avoided. Accordingly, a multi-stage TP reactor with a lower than desired inside surface area would require excess reactor temperature, which would lead to the formation of undesirable films and excess carbon deposits inside the reactor. Thus, in a preferred embodiment of the present invention, the volume of the multi-stage TP reactor is less than 80 cm3, preferably 50 cm3, and the surface area of the heater body is at least 200 cm2, preferably 400 cm2. Additionally, the reactor should be built to hold a vacuum under 0.01 to 1 mTorr. Several methods can be used to increase the surface areas of the inside heater body, including, but not limited to: a porous medium; small tubes; heating fins; or spherical balls.

[0055] Many methods of heating can be used, however, uniformly applying heat to the entire TP reactor at a single heating temperature should be avoided. Although not wanting to be bound by theory, precursors flowing through a single temperature TP reactor will gradually increase in temperature in the direction of transport. As these precursors gain enough thermal energy to crack, the reactive intermediates inside the TP reactor can back diffuse and result in coke formation inside the reactor. Back diffusion can be prevented if the reactor volume is reduced, which results in an increased flow rate of the gas chemicals inside the reactor. Although the volume needs to be reduced, the surface area inside the reactor should remain very large. For example, the utilization of porous heater element can simultaneously decrease volume but increase surface area. However, porous heater elements often cannot provide sufficient heat transfer, and un-reacted precursors appear after an extended reaction period. Although not wanting to be bound by theory, the appearance of un-reacted precursors results from a cooling effect of incoming precursors that are normally several hundred degrees below the heater temperature.

[0056] One method of avoiding the problems discussed above is to utilize a multi-stage heating (“MSH”) design. For example, a pre-heater is used to pre-heat the precursors to temperature below its cracking temperature, thus limiting the conversion of precursors into intermediates. Once the pre-heated precursors have reached a desired temperature (e.g. 300 to 450° C. or pressure (P=nRT/V)), they are released into the second-zone where the thermolytic reaction occurs. Using a TSH design, the efficiency of the TP Reactor is increased and avoids excess carbon formation inside the reactor.

[0057] In order to maximize heat transfer from the heater elements to the precursors, the reactor body can be constructed using a closely-packed-ball (“CPB”) design. There are several advantages of a CPB reactor. For example, the CPB reactor provides high packing density inside the reactor, which can store latent energy that is available for heating gaseous molecules. In contrast, passing gaseous precursor molecules through a reactor during deposition may cool of the porous media or fins. Additionally, the back-diffusion of reactive intermediates can be avoided when the flow rate of the precursor gaseous molecules is also increased due to the higher feed rate capabilities of a CPB reactor.

[0058] There are two known packing methods that can be found inside manufactured reactors with closely-packed-balls. The packing density (&phgr;) of the “Symmetric Packing” method is equal to &pgr;/6 or 0.523. Additionally, the “Face Centered Packing” method allows a packing density (&phgr;) that is equal to 1 π 3 ⁢ 2

[0059] or 0.74. Thus, ceramic balls as heating element offer a longer deposition time under the same feed rate, which is due to the high-density packing of these spherical balls (e.g. 52% to 74%). In a preferred embodiment of the present invention, the open space between the heater balls should be less than the mean free path (“MFP”) of the precursors. The preferred diameter for these ceramic balls ranges from about 1 mm to 20 mm, preferably from 4 to 7 mm. These ceramic balls have surface areas to volume ratio ranging from about 1 to 10 cm2/cm3, wherein compact reactors can be fabricated for this invention. The small balls for the TP Reactor can be fabricated from many different types of ceramic materials. However, ceramic materials with IR adsorbing properties such as, Al2O3, Alumina Carbide, surface fluorinated Al2O3, Silicon Carbide and Silicon Nitride. Alumina, Alumina Carbide and SiC, are preferred.

[0060] Preferred Multi-stage TP Reactor designs: The design of the multi-stage reactor is critical. For example, it is important the cold precursors flow into a “low-temperature pre-heating zone” of the multi-stage reactor. The low temperature pre-heating zone can be any temperature below the cracking temperature of the desired leaving group on the precursor molecule. After the precursors transverse the low-temperature pre-heating zone, the pre-heated precursor molecules enter the high-temperature zone, wherein the pre-heated precursors are then heated to a temperature that will specifically “crack” the desired leaving group. The reactive intermediates that are generated in the high-temperature zone can then transverse the high-temperature zone and flow through the outlet leading to a deposition system. It should be appreciated by those of ordinary skill in the art that such an embodiment may be realized in many different forms. The description and examples contained herein are not intended to limit the scope of the invention, but are included for illustration purposes only.

[0061] One aspect of the multi-stage reactor involves the use of a double wall vacuum vessel with an inlet and an outlet on the same end of the reactor. A preferred multi-stage TP reactor design consisting of a double walled vacuum vessel with spherical ceramic balls as the heating element is illustrated as a side cross section in FIG. 2. The multi-stage-TP reactor 200 having a pre-heater 210 located in the center, and a low-temperature zone 301 that surrounds the pre-heater 210. The multi-stage TP reactor has a gas inlet 250 for the precursors to enter the low-temperature zone 301. Heating elements 230 are heated to a temperature that is below the cracking temperature of the precursors by the pre-heater 210 in the low-temperature zone. The precursor molecules that transverse the low-temperature zone 301 are pre-heated due to heat transfer from latent energy in the heating elements 230. The inner wall 205 of the reactor is designed to allow the precursors traverse the low-temperature zone and pass into the high-temperature zone 310 through an opening in the end of the inner wall 205 of the reactor. The high-temperature zone 310 is separated from low temperature zone 301 by the inner wall 205. The high-temperature zone 310 can be visualized as surrounding the low-temperature zone 301 and interposes the inner 205 and an outer 215 wall of the vacuum vessel. The outside heater 201 functions to heat the heater elements 230 in the high-temperature zone to a desired cracking temperature from the outside. Thermal couples 220 are preferred to maintain temperature regulation for both the high-temperature 201, and low-temperature 210 zones.

[0062] Pre-heated precursors pass into the high-temperature zone 310 and are diverted in a retrograde path that traverses the high-temperature zone. Latent energy in the heater elements within the high-temperature zone transfer enough heat energy into the preheated precursors to crack the desired leaving group bond and form reactive intermediates. Thus, cracking of the pre-heated precursors occurs in the high-temperature zone 310. The reactive intermediates then pass through the outlet 240 and toward a deposition system. It is important to note that an IR heater can be used as the inside and the outside heaters when a multi-stage reactor uses quartz as the reactor wall. The multi-stage reactor can be packed with spherical balls 230 made of IR adsorbing ceramic material. In one aspect, the multi-stage reactor comprises an insulation container 260. There are many alternatives to the above design that have been contemplated by the inventors. For example, the use of an inner IR heater can be avoided when the inside wall of the double-wall reactor is fabricated from an IR adsorbing material such as SiC or Alumina carbide or Alumina instead of a quartz material. Additionally, the outside heater 201 may consist of a resist heater instead of an IR heater, wherein the outside wall material is constructed using Alumina, Alumina Silica or SiC.

[0063] The packing of the ceramic balls inside the reactor, as shown in FIG. 2, can be visualized from a top cross-section view of the CPB multi-stage TP reactor, as shown in FIG. 3 (note—for clarity the quartz tubes are illustrated as partially filled with ceramic balls). In a preferred embodiment of the invention, inside or low and outside or high temperature zones of the double-wall heater are different. For example the inside temperature zone 301 is useful for pre-heating the precursor and the temperature in this low-temperature zone 301 can range from about 350° C. to about 450° C. The outside zone 310 was envisioned for cracking the precursors and the temperature for this high temperature zone 310 can range from about 450 to about 580° C. These temperature ranges were found useful when the precursor from equation IV (i.e. Y═Br) was employed for preparation of thin films. The cold gas molecules are flowing from the low temperature zone 300 to the high temperature zone 310 in the direction as indicated by the arrows shown in FIG. 3. Although not wanting to be bound by theory, this design avoids potential cooling of the gas molecules at the exit region 240 in the FIG. 2, and 310 in FIG. 3. Additionally, the above design assures multiple collisions between gas molecules and the inside surfaces of the CPB reactor. Although not wanting to be bound by theory, such a design will equalize the number of collisions for all gas molecules that are passing through the CPB reactor, and provide complete chemical conversion with less danger of overheating the precursors, which results in less “coke” formation.

[0064] Furthermore, the multi-stage TP reactor could be expanded to more than the two different heating zones to accommodate the different chemistries of precursors. For example, a triple-wall reactor can be constructed using quartz wall material as shown in FIG. 4. The triple-wall reactor would have a first end and a second end, and three heating zones. The precursors would enter the first heating zone 410 directly after the precursors flow through the inlet 401. A triple-wall reactor has two other heating zones 420, and 430. The first heating zone 410, and 440 are areas that support adjustable ball lengths. The arrows in FIG. 4 show the direction of precursor movement through the inlet 400, the three separate heating zones (i.e. 410, 420 and 430), and to the outlet port 450. The dimensions of a triple wall reactor are illustrated in 460. Thus, the flow path of precursor through a triple stage reactor would allow precursors to enter the first temperature zone through the inlet on the first end and traverses the first-temperature zone. The heated precursors would then pass into the second-temperature zone through an opening in the first temperature zone at the second end of the triple stage reactor. The pre-heated precursor are then diverted in a retrograde path that traverses the second-temperature zone, and passing into the second-temperature zone through an opening in the second temperature zone at the first end. The second-stage-heated precursor are finally diverted into an antegrade path that traverses the third-temperature zone, wherein the reactive intermediate exits the multiple TP reactor through the outlet on the second end.

[0065] Residual organic chemicals that are trapped inside a thermal TP reactor can contaminate the reactor. Therefore, to prevent contamination of precursors in subsequent usage of a TP reactor, all TP reactors need to be periodically cleaned. The preferred embodiment of the present invention equips the multi-stage TP reactor with a reactor cleaning subsystem (“RCS”). The RCS is connected to the reactor and is by-passed to a sewage deposit tank or gas scrubber system. Many different methods have been contemplated by the inventors for cleaning organic residuals from the multi-stage TP reactor of the current invention. For example, an RCS may consist of a steam boiler and a pressurized nitrogen supply. The steam boiler can generate about 1-10 psi, preferably from 5 to 10 psi of steam. The nitrogen pressure can be about 5 to 50 psi, preferably 20 to 50 psi. The RCS consists of a simple hot air blower or an oxygen tank. To clean the black carbon or organic residues inside the reactor from about 1 to 20 psi, preferably from 5 to 20 psi of hot air or oxygen is injected into the reactor at high temperatures. The air or oxygen temperature should be at least 200° C., but preferably within 100° C. of the reactor temperatures to prevent thermal shock and cracking of heater elements inside the reactor. This is especially important when the heater elements are fabricated from ceramic or porous ceramic. Alternatively, ceramic reactors can be cleaned using oxidative plasma.

[0066] It should be appreciated by those of ordinary skill in the art that other embodiments may incorporate the concepts, methods, precursors, polymers, films, and devices of the above description and examples. The description and examples contained herein are not intended to limit the scope of the invention, but are included for illustration purposes only. It is to be understood that other embodiments of the invention can be developed and fall within the spirit and scope of the invention and claims. For example, all of the above discussions assume a single TP Reactor per one deposition chamber; however, those who are skillful in tool designs can easily apply the above principles to make a larger TR Reactor for industrial cluster tools that have multi-deposition chambers.

Claims

1. A multi-stage transport polymerization (“TP”) reactor useful for making a thin film from a precursor comprising:

(a) a vacuum vessel having a first temperature zone interposed inside a second temperature zone;
(b) a pre-heater associated with the first temperature zone;
(c) a heater associated with the second temperature zone;
(d) a heater body within the vacuum vessel to transfer heat to the precursor and the pre-heated precursor;
(e) an inlet in fluid communication with the first temperature zone; and
(f) an outlet in fluid communication with the second temperature zone.

2. The multi-stage TP reactor of claim 1, wherein the precursor entering from the inlet is preheated in the first temperature zone to give a pre-heated precursor; and the pre-heated precursor then passes into the second temperature zone and is further heated to a desired temperature to give a reactive intermediate; and the reactive intermediate then leaves the multi-stage TP reactor through the outlet.

3. The multi-stage TP reactor of claim 2, wherein the vacuum vessel has a cylindrical shape with a first end and a second end.

4. The multi-stage TP reactor of claim 3, wherein the inlet and outlet are located on the first end of the vacuum vessel.

5. The multi-stage TP reactor of claim 4, wherein, precursor enters the first temperature zone through the inlet on the first end and traverses the low-temperature zone passing into the second-temperature zone through an opening in the first temperature zone at the second end; the pre-heated precursor is diverted in a retrograde path that traverses the second-temperature zone, wherein the reactive intermediate exits the two stage TP reactor through the outlet on the first end.

6. The multi-stage TP reactor of claim 1, wherein the first temperature zone is a low-temperature zone.

7. The multi-stage TP reactor of claim 1, wherein the second temperature zone is a high-temperature zone.

8. The multi-stage TP reactor of claim 1, wherein the heater body is a heater element.

9. The multi-stage TP reactor of claim 1, wherein the vacuum vessel is fabricated from an infrared (“IR”) transparent material.

10. The multi-stage TP reactor of claim 9, wherein the IR transparent material is quartz.

11. The multi-stage TP reactor of claim 1, wherein the vacuum vessel is fabricated from ceramic.

12. The multi-stage TP reactor of claim 11, wherein the ceramic is silicon sarbide, silicon nitride, aluminum nitride, aluninum oxide, or aluminina carbide.

13. The multi-stage TP reactor of claim 1, wherein the vacuum vessel is fabricated from an infrared (“IR”) absorbent material.

14. The multi-stage TP reactor of claim 1, wherein the vacuum vessel further comprises an insulation jacket surrounding the vacuum vessel.

15. The multi-stage TP reactor of claim 1, wherein the vacuum vessel has an internal volume of at least 20 cm3.

16. The multi-stage TP reactor of claim 1, wherein the pre-heater utilizes irradiation energy, thermal energy, plasma energy, or microwave energy.

17. The multi-stage TP reactor of claim 1, wherein the pre-heater comprises an infrared (“IR”) heater.

18. The multi-stage TP reactor of claim 17, wherein the IR heater comprises a tungsten halogen lamp.

19. The multi-stage TP reactor of claim 17, wherein the IR heater comprises a heating element coil of iron-chromium-aluminum.

20. The multi-stage TP reactor of claim 17, wherein the IR heater comprises a heating element coil of nickel-chromium.

21. The multi-stage TP reactor of claim 1, wherein the pre-heater comprises a resistive heater.

22. The multi-stage TP reactor of claim 21, wherein the resistive heater is in physical contacts with the heater body.

23. The multi-stage TP reactor of claim 1, wherein the first temperature zone is maintained at a temperature in a range from about 350° C. to about 450° C.

24. The multi-stage TP reactor of claim 1, wherein the first temperature zone further comprises a thermal couple.

25. The multi-stage TP reactor of claim 1, wherein the heater utilizes irradiation energy, thermal energy, plasma energy, or microwave energy.

26. The multi-stage TP reactor of claim 1, wherein the heater comprises an infrared (“IR”) heater.

27. The multi-stage TP reactor of claim 26, wherein the IR heater comprises a tungsten halogen lamp.

28. The multi-stage TP reactor of claim 26, wherein the IR heater comprises a heating element coil of iron-chromium-aluminum.

29. The multi-stage TP reactor of claim 26, wherein the IR heater comprises a heating element coil of nickel-chromium.

30. The multi-stage TP reactor of claim 1, wherein the heater comprises a resistive heater.

31. The multi-stage TP reactor of claim 30, wherein the resistive heater is in physical contacts with the heater body.

32. The multi-stage TP reactor of claim 1, wherein the second temperature zone is maintained at a temperature in a range from about 450° C. to about 580° C.

33. The multi-stage TP reactor of claim 1, wherein the second temperature zone further comprises a thermal couple.

34. The multi-stage TP reactor of claim 1, wherein the precursor has a general chemical structure:

5
wherein: no or m is individually zero or an integer, and (no+m) comprises an integer of at least 2 but no more than a total number of sp2C—X substitution on the aromatic group (“Ar”),
Ar is an aromatic or a fluorinated-aromatic group,
Z′ and Z″ are similar or different, and individually a hydrogen, a fluorine, an alkyl group, a fluorinated alkyl group, a phenyl group or a fluorinated phenyl group;
X is a leaving group, and individually a —COOH, —I, —NR2, —N+R3, —SR, or —SO2R, wherein R is an alkyl, a fluorinated alkyl, aromatic or fluorinated aromatic group, and
Y is a leaving group, and individually a —Cl, —Br, —I, —NR2, —N+R3, —SR, or —SO2R, or —OR, wherein R is an alkyl, a fluorinated alkyl, aromatic or fluorinated aromatic group

35. The multi-stage TP reactor of claim 34, wherein a bonding energy between the leaving group (“(BE)L”) and a core group of the precursor is less than 75 Kcal/mole, and the range of the (BE)L is about 20 to 45 Kcal/mole lower than a bonding energy of a next weakest chemical bond energy (“(BE)c”) present in the precursor.

36. The multi-stage TP reactor of claim 34, wherein the high temperature zone is maintained within a temperature variation across to the gas diffusion direction, (“dTr”) that is equal to, or less than 5 times the differential bond energy (“dBE”) expressed as Kcal/mole, wherein dBE=(BE)L-(BE)c, and (BE)L is a bonding energy of the desired leaving group, and (BE)c is a bonding energy of a next weakest chemical bond energy that present in the precursor.

37. The multi-stage TP reactor of claim 1, wherein the heater body has a total of surface area of at least 300 cm2 for making the thin film on a 200 mm wafer.

38. The multi-stage TP reactor of claim 1, wherein the heater body is fabricated from an IR absorbing material that can maintain uniform temperatures in the range from about 300° C. to about 700° C.

39. The multi-stage TP reactor of claim 38, wherein the IR absorbing material is resistant to corrosion by halogens at temperatures ranging from about 300° C. to about 700° C.

40. The multi-stage TP reactor of claim 38, wherein the IR absorbing material is silicon carbide, silicon nitride, aluminum nitride, or aluminum oxide.

41. The multi-stage TP reactor of claim 1, wherein the heater body comprises a ceramic fin.

42. The multi-stage TP reactor of claim 1, wherein the heater body comprises a ceramic disk.

43. The multi-stage TP reactor of claim 42, wherein the ceramic disk is porous.

44. The multi-stage TP reactor of claim 1, wherein the heater body comprises closely packed balls (“CPB”).

45. The multi-stage TP reactor of claim 44, wherein the CPB are constructed from ceramic, silicon carbide, or alumina carbide.

46. The multi-stage TP reactor of claim 44, wherein the CPB have a diameter that ranges from about 0.5 to 10 mm.

47. The multi-stage TP reactor of claim 44, wherein the CPB are packed with a symmetric packing method.

48. The multi-stage TP reactor of claim 44, wherein the CPB are packed with a face centered packing method.

49. The multi-stage TP reactor of claim 44, wherein the CPB are packed with a packing density (“&phgr;”) in the range from about 50% to about 74%.

50. The multi-stage TP reactor of claim 49, wherein the packing density (“&phgr;”) have open space between the heater balls that is less than the mean free path (“MFP”) of the precursor material, wherein the MFP is in a range from about 1 mm to about 20 mm.

51. A multi-stage transport polymerization (“TP”) reactor useful for making a thin film from a precursor comprising:

(a) a vacuum vessel having a first temperature zone interposed inside a second temperature zone, the second temperature zone interposed inside a third temperature zone;
(b) a heater and thermal couple associated with maintaining the accurate temperature;
(c) a heater body within the vacuum vessel to transfer heat to the precursor and the pre-heated precursor;
(d) an inlet in fluid communication with the first temperature zone; and
(e) an outlet in fluid communication with the third temperature zone.

52. The multi-stage TP reactor of claim 51, wherein the cold precursor entering from the inlet is preheated in the first temperature zone to give a pre-heated precursor; and the pre-heated precursor then passes into the second temperature zone and is further heated to a desired temperature before passing into the third temperature zone to give a reactive intermediate; and the reactive intermediate then leaves the the multi-stage TP reactor through the outlet.

53. The multi-stage TP reactor of claim 52, wherein the vacuum vessel has a cylindrical shape with a first end and a second end.

54. The multi-stage TP reactor of claim 53, wherein the inlet is located on the first end, and outlet is located on the second end of the vacuum vessel.

55. The multi-stage TP reactor of claim 54, wherein, precursor enters the first temperature zone through the inlet on the first end and traverses the first-temperature zone passing into the second-temperature zone through an opening in the first temperature zone at the second end; the pre-heated precursor is diverted in a retrograde path that traverses the second-temperature zone, passing into the second-temperature zone through an opening in the second temperature zone at the first end, the heated precursor is diverted again into an antegrade path that traverses the third-temperature zone, wherein the reactive intermediate exits the multiple TP reactor through the outlet on the second end.

56. The multi-stage TP reactor of claim 51, wherein the heater body is a heater element.

57. The multi-stage TP reactor of claim 51, wherein the vacuum vessel is fabricated from an infrared (“IR”) transparent material.

58. The multi-stage TP reactor of claim 57, wherein the IR transparent material is quartz or Pyrex glass.

59. The multi-stage TP reactor of claim 51, wherein the vacuum vessel further comprises an insulation jacket surrounding the vacuum vessel.

60. The multi-stage TP reactor of claim 51, wherein the pre-heater utilizes irradiation energy, thermal energy, plasma energy, or microwave energy.

61. The multi-stage TP reactor of claim 51, wherein the heater comprises an infrared (“IR”) heater.

62. The multi-stage TP reactor of claim 51, wherein the precursor has a general chemical structure:

6
wherein: no or m is individually zero or an integer, and (no+m) comprises an integer of at least 2 but no more than a total number of sp2C—X substitution on the aromatic group (“Ar”),
Ar is an aromatic or a fluorinated-aromatic group,
Z′ and Z″ are similar or different, and individually a hydrogen, a fluorine, an alkyl group, a fluorinated alkyl group, a phenyl group or a fluorinated phenyl group;
X is a leaving group, and individually a —COOH, —I, —NR2, —N+R3, —SR, or —SO2R, wherein R is an alkyl, a fluorinated alkyl, aromatic or fluorinated aromatic group, and
Y is a leaving group, and individually a —Cl, —Br, —I, —NR2, —N+R3, —SR, or —SO2R, or —OR, wherein R is an alkyl, a fluorinated alkyl, aromatic or fluorinated aromatic group

63. The multi-stage TP reactor of claim 62, wherein a bonding energy between the leaving group (“(BE)L”) and a core group of the precursor is less than 85 Kcal/Mole, and the range of the (BE)L is about 25 to 40 Kcal/Mole lower than a bonding energy of a next weakest chemical bond energy (“(BE)c”) present in the precursor.

64. The multi-stage TP reactor of claim 62, wherein the high temperature zone is maintained within a temperature variation of a gas diffusion direction (“dTr”) that is equal to, or less than 5 times the dimmer bond energy (“dBE”) expressed as Kcal/mole, wherein dBE=(BE)L-(BE)c, and (BE)L is a bonding energy of the desired leaving group, and (BE)c is a bonding energy of a next weakest chemical bond energy that present in the precursor.

65. The multi-stage TP reactor of claim 51, wherein the heater body is fabricated from an IR absorbing material that can maintain uniform temperatures in the range from about 300° C. to about 700° C.

66. The multi-stage TP reactor of claim 65, wherein the IR absorbing material is resistant to corrosion by halogens at temperatures ranging from about 300° C. to about 700° C.

67. The multi-stage TP reactor of claim 65, wherein the IR absorbing material is SiC, silicon nitride, aluminum nitride, or aluminum oxide.

68. The multi-stage TP reactor of claim 51, wherein the heater body comprises a ceramic fin.

69. The multi-stage TP reactor of claim 51, wherein the heater body comprises a ceramic disk.

70. The multi-stage TP reactor of claim 69, wherein the ceramic disk is porous.

71. The multi-stage TP reactor of claim 51, wherein the heater body comprises closely packed balls (“CPB”).

72. The multi-stage TP reactor of claim 71, wherein the CPB are constructed from ceramic, silicon carbide, or alumina carbide.

73. The multi-stage TP reactor of claim 71, wherein the CPB have a diameter that ranges from about 0.5 to 10 mm.

74. The multi-stage TP reactor of claim 71, wherein the CPB are packed with a packing density (“&phgr;”) in the range from about 50% to about 74%.

75. A reactor cleaning subsystem (“RCS”) for the multi-stage transport polymerization (“TP”) reactor of claim 1 comprising:

(a) a gas-inlet in fluid communication with the multi-stage TP reactor;
(b) a discharging-outlet in fluid communication with the multi-stage TP reactor; and
(c) an IR heater associated with the multi-stage TP-reactor.

76. The RCS of claim 75, wherein the IR heater heats the heater body inside the vacuum vessel, a heated gas is passed through the gas-inlet to bum off organic residues inside the multi-stage TP-reactor, and oxidized gas flows through the discharging outlet into an exhaust.

77. The RCS of claim 76, further comprising a connector valve in fluid communication with the gas-inlet, wherein a mass flow controller (“MFC”) having fluid communication with the connector-valve is connected to a heated gas supply.

78. The RCS of claim 77, further comprising a by-pass valve in fluid communication with the discharge-outlet, wherein a by-pass line having fluid communication with the by-pass valve is connected to the exhaust.

79. The RCS of claim 78, wherein the heated gas supply is pressurized oxygen.

80. The RCS of claim 79, wherein the pressurized oxygen is in the range from about 1 to 20 psi.

81. The RCS of claim 78, wherein the heated gas supply is pressurized air.

82. The RCS of claim 78, wherein the heated gas supply is maintained at a temperature within at least 100° C. of a temperature in the first-temperature zone of the multi-stage TP reactor to prevent thermal shock or cracking of the heater bodies inside the reactor.

83. The RCS of claim 78, wherein an inside temperature of the multistage TP reactor is maintained at a temperature of at least 400° C. during the RCS cleaning process.

84. A method of cleaning an organic residue inside the multi-stage transport polymerization (“TP”) reactor of claim 1 using a reactor cleaning subsystem (“RCS”) comprising:

(a) heating the heater body to a desired temperature with a resist heater;
(b) introducing a heated gas into the TP reactor through the inlet;
(c) burning the organic residue with the heated gas to give an oxidized gas; and
(d) discharging the oxidized gas from the reactor.

85. The method of claim 84, wherein an inside temperature of the multistage TP reactor is at least 400° C. during the RCS cleaning process.

86. The method of claim 84, wherein the heated gas supply is maintained at a temperature within at least 100° C. of a temperature in the first-temperature zone of the multi-stage TP reactor to prevent thermal shock or cracking of the heater bodies inside the reactor.

87. The method of claim 84, wherein the heated gas supply is pressurized oxygen.

88. The method of claim 87, wherein the pressurized oxygen is in the range from about 1 to 20 psi.

89. The method of claim 84, wherein the heated gas supply is pressurized air.

Patent History
Publication number: 20030198578
Type: Application
Filed: Apr 18, 2002
Publication Date: Oct 23, 2003
Applicant: DIELECTRIC SYSTEMS, INC. (Fremont, CA)
Inventors: Chung J. Lee (Fremont, CA), Oanh Nguyen (Union City, CA), Atul Kumar (Fremont, CA), Jeff Wu (Palo Alto, CA), Michael Solomensky (Fremont, CA), James Yu Chung Chang (Cupertino, CA), Binh Nguyen (Cupertino, CA)
Application Number: 10125626