Wafer processing apparatus, wafer stage, and wafer processing method

A heater function and an electrostatic chuck function are incorporated in a ceramic plate for placing a wafer, and the ceramic plate is fixed to a cooling jacket with ceramic bolts having a low coefficient of thermal conductivity with an intervening heat insulating member. In order to transmit heat input in the wafer to the water-cooling jacket with high repeatability, a heat-conducting member having elasticity in the vertical direction is sandwiched between the ceramic plate and the cooling jacket. The degradation of temperature distribution of wafers due to the radiant heat radiation from the sidewall of the ceramic plate to the chamber can be minimized by covering the circumference of the ceramic plate with a radiation insulator.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND OF THE INVENTION

[0001] 1. Field of the Invention

[0002] The present invention relates to a technique for manufacturing a semiconductor. More specifically, the present invention relates to an apparatus for processing wafers at a high temperature, and to a wafer stage provided in the apparatus.

[0003] 2. Description of the Related Art

[0004] Examples of Known Technique

[0005] Japanese Patent Application Laid-open No. 11-87245

[0006] Ruthenium, the oxides thereof, and platinum are promising candidates for materials used in the capacitor electrode of next-generation semiconductor devices for the compatibility or the like with capacitor insulating films of high dielectric constant. Zirconium oxide, hafnium oxide, and the like have been studied as a material for a gate insulating film substituting silicon oxide; and PZT (a compound of platinum, zirconium, and titanium), BST (a compound of barium, strontium, and titanium) have also been studied as a capacitor film. For future semiconductor devices, the use of various types of novel materials is studied. These novel materials are thermally and chemically stable, and since the volatility of these materials is extremely low, they are often called nonvolatile materials.

[0007] In etching these nonvolatile materials, it is essential to maintain the temperature of wafers in process high. Although the temperature of wafers in conventional etching apparatus is generally as low as −50° C. to about 100° C., nonvolatile materials are too stable to be etched in this range of temperature. Nonvolatile materials must be processed at a temperature as high as 200° C. to 500° C.

[0008] Therefore, a processing apparatus that can process wafers at a high temperature has recently been required. In order to realize such a processing apparatus, there is required a wafer stage that can not only heat wafers to a high temperature, but also control temperature with good response without degrading the temperature distribution of wafers even if heat is inputted from plasma.

[0009] A method for controlling the temperature of wafers in process is disclosed in Japanese Patent Application Laid-open No. 11-87245. In this example, a substrate holder for supporting wafers is fabricated by bonding a plurality of blocks consisting of same or different metals including heating blocks having built-in heaters for heating wafers with high heat conduction and high air-tightness using diffusion bonding.

[0010] In the disclosure of Japanese Patent Application Laid-open No. 11-87245, since the electrostatic attraction block for supporting wafers is integrated with the heat-conducting block, the heating block, and the cooling block by diffusion bonding, temperature difference between blocks is small, and the temperature is easy to control; however, there are problems in that when the usable life of the electrostatic attraction block is expired and the block must be replaced, the entire substrate holder must be replaced resulting in much labor and high costs.

[0011] In addition, since heat from the heater is directly transmitted to the cooling block, there is a problem that a large electric power must be inputted to the heater in operation particularly at a high temperature.

[0012] Furthermore, since the dissipation of heat due to radiation that affects the temperature distribution of wafers in process is not taken into consideration, the temperature distribution of wafers tends to degrade, and in the embodiments, a method for supplying electric power independently to the center and the circumference of the heater for improving the temperature distribution of wafers is disclosed. However, there is a problem of increase in costs in this case.

[0013] Therefore, the object of the present invention is to provided a wafer stage and a wafer processing apparatus that can maintain the temperature distribution of wafers uniform within a wide temperature range between 200° C. and about 500° C., and can prevent the temperature elevation of wafers by removing heat input to the wafers when treated with plasma.

SUMMARY OF THE INVENTION

[0014] The above object can be achieved by incorporating a heater function and an electrostatic chuck function in a ceramic plate for placing a wafer, and fixing the ceramic plate to a cooling jacket with ceramic bolts having a low coefficient of thermal conductivity with an intervening heat insulating member; sandwiching a heat-conducting member having elasticity in the vertical direction between the ceramic plate and the cooling jacket, in order to transmit heat input in the wafer to the water-cooling jacket with high repeatability; and covering the circumference of the ceramic plate with a radiation insulator in order to minimize the degradation of temperature distribution of wafers due to the radiant heat transmission from the sidewall of the ceramic plate to the chamber.

BRIEF DESCRIPTION OF THE DRAWINGS

[0015] FIG. 1 is a sectional view showing a wafer processing apparatus according to Embodiment 1 of the present invention;

[0016] FIG. 2 is a sectional view showing a wafer stage according to Embodiment 1 of the present invention;

[0017] FIG. 3 is a diagram showing a model of heat balance according to the embodiment of the present invention;

[0018] FIG. 4 is a table showing the heat balance of Embodiment 1 of the present invention;

[0019] FIG. 5 is a table showing the heat balance of Embodiment 1 of the present invention when a black paint is applied to the surface of the cooling jacket;

[0020] FIG. 6 is a sectional view showing Embodiment 2 of the present invention;

[0021] FIG. 7 is a perspective view showing the cooling jacket of Embodiment 2 of the present invention;

[0022] FIG. 8 is a perspective view showing the heat-conducting member of Embodiment 2 of the present invention;

[0023] FIG. 9 is a sectional view showing the heat-conducting member of Embodiment 2 of the present invention;

[0024] FIG. 10 is a table showing the heat balance of Embodiment 2 of the present invention;

[0025] FIG. 11 is a graph showing the temperature distribution of wafers according to Embodiment 2 of the present invention;

[0026] FIG. 12 is a perspective view showing the cooling jacket according to Embodiment 3 of the present invention; and

[0027] FIG. 13 is a table showing the heat balance of Embodiment 3 of the present invention.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

[0028] The embodiments of the present invention will be described below referring to the drawings.

[0029] FIGS. 1 and 2 show Embodiment 1 of the present invention. FIG. 1 is a sectional view of a wafer stage of the present invention actually applied to a plasma treatment apparatus; and FIG. 2 is an enlarged sectional view of the wafer stage of the present invention.

[0030] As illustrated in FIG. 1, an etching gas 11 is introduced into a vacuum chamber 9, and the pressure in the chamber is maintained at an adequate pressure by controlling the opening of a valve 12 installed upstream the turbo-molecular pump 13. Above the vacuum chamber is placed an alumina bell jar 10, and around the bell jar 10 is install a coil 7. By connecting the coil 7 to a radio frequency (RF) power source 8, and impressing an RF voltage (e.g., 13.56 MHz) across the coil 7, inductively coupled plasma 6 is generated. A plurality of fans 27 are installed around the bell jar 10 to maintain the temperature of the bell jar 10 constant (about 70° C. to 120° C.). When a wafer 1 is exposed to the plasma, etching is performed. During etching, the wafer 1 is placed on the wafer stage 2, and the temperature of the wafer 1 is controlled.

[0031] In order to impress a bias voltage to the wafer, a RF power source 5 is connected to the wafer stage 2. A DC power source 22 is connected to the power supply line 19 of the RF voltage to impart an electrostatic chuck function to the wafer stage 2. In FIG. 1, reference numeral 3 denotes a flow rate controller for controlling the flow rate of the etching gas, 4 denotes a gate valve that opens when the wafer is conveyed, enabling the forward-backward movement of a conveying arm (not shown).

[0032] In Embodiment 1, in the state where a cooling jacket 14 and a ceramic plate 15 are installed to form a layered structure, the above-described space is constituted by a gap 37 formed on the surface of the cooling jacket 14 facing the ceramic plate 15. In order to adjust the distance between the cooling jacket 14 and the ceramic plate 15, spacers 23 made of zirconia is sandwiched between them, and fixed with bolts 36 made of zirconia. Since the distance of the space constituted by the gap 37 must be adjusted accurately in order to adjust the temperature of the wafer stage 2 in good response, or to reproduce it accurately, the shape of the spacers 23, which affect the distance, is important.

[0033] The protruded portion of the cooling jacket 14 formed around a hole linked to a through hole 29 (described later), formed in the center portion of the cooling jacket 14 in the state combined with the ceramic plate 15, contacts the lower surface of the ceramic plate 15; and in the circumferential portion of the cooling jacket 14, the spacers 23 contact the upper surface of the cooling jacket 14 and the lower surface of the ceramic plate 15. A seal member is provided on the protruded portion to maintain the hole linked to a through hole 29 airtight from the gap 37. In the space constituted by the gap 37, a communicating path (not shown) for communicating with the space in the vacuum chamber 9 so that the space is maintained at a high degree of vacuum when the vacuum chamber 9 is evacuated and maintained at a high degree of vacuum. Thus, the excessive heat transmission between the cooling jacket 14 and the ceramic plate 15 through the fluid in the space can be prevented. Also, ventilation can be performed through a ventilation means directly linked to the space produced by the gap 37. If a large quantity of heat conduction is required, a heat-conducting fluid can be flowed in this space as required.

[0034] The reason why zirconia is used as the material of the bolts 36 for fixing the ceramic plate 15 is that the coefficient of thermal conductivity is as low as about 3 W/mK, has a high fracture toughness, and excels in mechanical strength. Therefore, the quantity of heat escaped to the cooling jacket 14 through the bolts 64 can be minimized, and the local degradation of temperature distribution of wafers can be prevented. However, the material of the bolts 64 is not limited to zirconia, but other ceramic bolts or metal bolts may be used as long as they can achieve the required perform the material of the ceramic plate 15 is aluminum nitride, which has a large coefficient of thermal conductivity, and a heater 16 is embedded therein. Therefore, the ceramic plate 15 can be heated by inputting electric power to the heater 16. The reason why the ceramic plate 15 is composed of aluminum nitride is that since aluminum nitride has a large coefficient of thermal conductivity, little temperature difference is produced on the surface, and the degradation of temperature distribution of wafers can be prevented. However, the material of the ceramic plate 15 is not limited to aluminum nitride, but other materials may also be used.

[0035] An internal electrode 17 that imparts an electrostatic chuck function and supplies an RF bias voltage to the wafer stage 2 is embedded above the heater 16 in the ceramic plate 15. When a DC voltage is impressed to the internal electrode 17, a potential difference is generated between the internal electrode 17 and the wafer 1 (the wafer 1 is exposed to plasma, and has a potential which is substantially ground potential), an electric charge is stored between the internal electrode 17 and the back of the wafer 1, and the wafer 1 is attracted and fixed to the ceramic plate 15. In addition to the DC voltage, an RF voltage for inputting bias power to the wager 1 is impressed to the internal electrode 17. The RF power source 5 in FIG. 1 plays this role. As the electric circuit, a DC power source 22 for the electrostatic chuck is connected to the power supply line 19 through the coil 21. In Embodiment 1, what is equivalent to the power supply line is a hollow shaft 20 installed in the support member. Since a bias voltage can be impressed to the wafer 1 when an RF voltage is impressed to the internal electrode 17, ions in the plasma can be drawn, and effects such as the increase of the etching rate and the improvement of the shape after etching can be expected.

[0036] The reference numeral 55 denotes a sheathed thermocouple for measuring the temperature of the ceramic plate 15. A through hole 54 is formed in a part of the cooling jacket 14, and a dent 53 is formed on the back of the ceramic plate 15 corresponding to the location of the through hole 54. The sheathed thermocouple 55 is inserted so that the end of the sheathed thermocouple 55 contacts the bottom of the dent 53. Since the measured temperature changes if the contacting condition of the end of the sheathed thermocouple 55 changes, a flange 56 is installed on the thermocouple, a coil spring 57 is inserted in the flange 56, and the entire sheathed thermocouple 55 is fixed to the cooling jacket 14 with a holding member 58. Therefore, even if the installing condition of the ceramic plate 15 is somewhat changed, the contacting pressure of the end of the sheathed thermocouple 55 and ceramic plate 15 is maintained substantially constant, and a method for measuring temperature with high repeatability can be provided. Based on the temperature information, electric power to be supplied to the heater 16 is controlled power is supplied to the heater 16 through a through hole 39 formed in the cooling jacket 14. A socket 41 electrically connected to the heater 16 is built in the ceramic plate 15, and an electric plug 42 connected to an external power source is provided to meet the socket 41. Although only one electric connecting portion to the heater 16 is described in Embodiment 1, since a connector of the opposite polarity is required, there are actually two electric connecting portions.

[0037] The reference numeral 38 denotes a radiation insulator for reducing the dissipation of heat from the circumference of the ceramic plate 15, and the surface of the radiation insulator is chromium-plated. When the radiation insulator is provided, radiant heat escaping to the internal wall of the vacuum chamber is reduced to a half or less, and the degradation of temperature distribution in the surface of the wafer stage 2 can be prevented.

[0038] In order to transmit heat inputted to the wafer in process effectively to the wafer stage 2, and to improve the controllability of the temperature of wafers, a heat-conducting gas such as helium gas must be introduced between the back of the wafer and the ceramic plate 15. In Embodiment 1, the heat-conducting gas is supplied through a hollow shaft built in the supporting member for impressing an RF voltage and a DC voltage to the internal electrode 17. In other words, helium gas is introduced to the back of the wafer 1 through the through hole 29 formed in the center of the ceramic plate 15.

[0039] Channels 46 for circulating the cooling medium are formed inside the cooling jacket 14. In Embodiment 1, cooling water prepared in the clean room is used as the cooling medium. The cooling water is fed to and discharged from the channel 46 through flexible pipes 30. The flexible pipes 30 are used because the entire wafer stage 2 moves up and down as described later. In FIG. 2, only the feeding side of the cooling water is shown, and the return side is omitted. Although water is used as the cooling medium in Embodiment 1, the cooling medium is not limited to water. For example, chlorofluorocarbon-based cooling medium, such as Fluorinert™ and Galden, can also be used. However, the use of water is advantageous in that heat transfer quantity between water and the ceramic plate 15 can be large when the pressure between gaps is identical, because the heat transfer coefficient with the portion for circulating the cooling medium (cooling jacket 14 in Embodiment 1) is large. In other words, the pressure for securing the same heat transfer quantity may be low. This moderates the requirements for sealing helium, and becomes significantly advantageous in designing the apparatus.

[0040] Wafers are conveyed by ascending and descending the wafer stage 2 by the expansion and contraction of a bellows 35, and by lifting the wafers with a pusher pin 32.

[0041] When a wafer is processed by thus impressing a bias voltage, the temperature of the wafer is elevated by the heat inputted from plasma. Although temperature rise may not arise problems if the quantity of the heat input is small, normally, etching may be poorly performed unless the temperature of wafers is well controlled in the manufacturing process of semiconductors. Therefore, in order to maintain wafer temperature high, the ceramic plate 15 must be heated with the heater when there is no heat input, and when processing is started and heat is inputted from plasma, electric power supplied to the heater must be lowered to adjust the wafer temperature. As the method for this, heat exchange is performed between the ceramic plate 15 and the cooling jacket 14 by radiant heat transmission in Embodiment 1. The heat balance of Embodiment 1, which is the basis of the concept of Embodiment 1, will be described below referring to FIG. 3.

[0042] In FIG. 3, the reference numeral 15 denotes the output of the heater; 31 denotes the radiant heat transmission to the cooling jacket 14; and 33 denotes the radiant heat transmission to the bell jar facing the wafer stage 2. Although heat conduction through zirconia bolts for fixing the wafer stage 2 to the cooling jacket 14 is present, it can be ignored in Embodiment 1 because of a low heat transfer coefficient, so explanation thereof is omitted. Heat input from plasma during processing is denoted by reference numeral 34. First, in order to maintain the temperature of the wafer at as high as 200° C. to 500° C., the wafer stage 2 must be heated to 200° C. to 500° C. In this case, Equation (1) must be satisfied in a steady state.

Heater output=Radiant heat transmission to the cooling jacket+Radiant heat transmission to the bell jar  (1)

[0043] On the other hand, when there is heat input to the wafer stage during plasma treatment, Equation (2) must be met in order to maintain the temperature of the wafer stage constant.

Heater output+Heat input from plasma=Radiant heat transmission to the cooling jacket+Radiant heat transmission to the bell jar  (2)

[0044] The temperature of the wafer stage 2 can be maintained constant if the apparatus is operated by the heater output of (1) before starting processing and the heater output is lowered by the heat input from plasma after starting processing. In other words, if the heat input from plasma is the same or more than the radiant heat transmission to the cooling jacket and the bell jar, the temperature of the wafer elevates even if the power to the heater is 0 W, and the apparatus is out of control. FIG. 4 shows the results of calculation of the heat balance of Embodiment 1. From FIG. 4, it is known that when the apparatus is operated at 400° C., since the heater output without heat input from plasma is 501 W, the allowable heat input from plasma is 501 W. In the cases of 300° C. and 200° C., since the quantity of radiant heat transmission reduces, the allowable heat input from plasma is 246 W and 101 W, respectively.

[0045] Therefore, according to Embodiment 1, since the wafer stage 2 is fixed to the cooling jacket 14 using zirconia bolts, and heat is transmitted to and from the cooling jacket and the bell jar by radiant heat transmission, a wafer stage 2 is provided that can realize uniform temperature distribution within a wide temperature range of as high as 200° C. to 400° C. with a simple structure, if the heat input to the wafer is 101 W or below.

[0046] Next, a method for further controllably increasing the heat input to wafers than the above-described example will be described. In Embodiment 1, the material of the cooling jacket is stainless steel, and the surface facing the ceramic plate is simply a cut surface. The emissivity of the surface is 0.3. The emissivity can be measured using a direct method wherein a sample is heated and the emissivity is measured, or an indirect method wherein the emissivity is calculated based on the spectral reflectance obtained from reflection spectrum measured by FTIR. When a black paint is applied onto the surface for increasing the emissivity and the radiant heat transmission to the cooling jacket, the radiant heat transmission of the ceramic plate can be increased. FIG. 5 shows the heat balance when the radiation factor is 0.9. From FIG. 5, it is known that when the temperature of the ceramic plate is 400° C., 300° C., and 200° C., the electric power that can be supplied increases to 818 W, 403 W, and 157 W, respectively.

[0047] Other than this method, a method wherein the surface of the cooling jacket is made irregular to enlarge the surface area; or if the material of the cooling jacket is aluminum, a method wherein the surface is converted to black alumite can be considered. However, what is important is to increase the emissivity of the surface of the cooling jacket, and the means for this does not limit the scope of the present invention.

[0048] FIG. 6 shows Embodiment 2 of the present invention.

[0049] In the structure of Embodiment 2, a ring-shaped heat-conducting member 24 using Inconel is sandwiched between a ceramic plate and a cooling jacket, so that the temperature of the wafer 1 can be controlled even if the quantity of heat inputted to the wafer 1 is larger than the quantity of heat in Embodiment 1. The cooling jacket is provided with a groove 25 for aligning the heat-conducting member 24 as illustrated in FIG. 7. FIG. 8 shows a perspective view of the heat-conducting member 24, and FIG. 9 shows a sectional view thereof.

[0050] When the vertical direction of FIGS. 8 and 9 is made the height direction, the heat-conducting member 24 of Embodiment 2 has a larger height than the height of the spacer 23. Thereby, the upper end of the heat-conducting member 24 contacts the ceramic plate 15, and the lower end thereof contacts the cooling jacket 14 with the ceramic plate 15 and the cooling jacket 14 attached thereto. Furthermore, the heat-conducting member 24 has an elastic portion that can expand or contract, and when the ceramic plate 15 and the cooling jacket 14 are attached or detached, the expanding or contracting force of the elastic portion pushes the upper and lower end against the ceramic plate 15 and the cooling jacket 14 to enhance contracting, and lowers the resistance of heat conduction. This elastic portion is connected so as to enhance heat conduction to the end portions, and especially in Embodiment 2, it is integrally constituted using the same material.

[0051] The elastic portion is bent or curved in the direction that the plate having the thickness that can exert elasticity intersects the expanding or contracting direction. By such a constitution, both ends of the heat-conducting member contact the ceramic plate and the cooling jacket with high repeatability when the ceramic plate fitted to the groove of the cooling jacket is fixed to the cooling jacket.

[0052] Although the cross-sectional shape of the heat-conducting member has a W-shape as illustrated in FIG. 9, the cross-sectional shape of a U-shape or a C-shape can also be considered. What is important is that the heat-conducting member has elasticity in the height (thickness) direction. Since the purpose of the heat-conducting member is to control the quantity of heat conduction from the ceramic plate to the cooling jacket, the preferable properties of the material is that it has heat resistance, can easily have more reduced thickness, and has an ensured elasticity in the thickness direction after its thickness reduced, the heat resistance of the heat-conducting member after processing realizes the expected quantity of heat conduction, and the costs are low. Although Inconel is used in Embodiment 2 as the material to meet these requirements, a stainless steel is also considered as a candidate.

[0053] The elasticity secures the repeatability of the contacting of the both ends of the heat-conducting member, and the thermal resistance is determined by the length in the thickness direction and the thickness. However, these should be determined by experiments for actual applications. The estimated values of the heat-conducting member of Embodiment 2 by calculation are: the coefficient of thermal conductivity of Inconel is 12 W/mK, the thickness is 0.3 mm, the length in the thickness direction is 16 mm, the diameter is 210 mm, and the thermal resistance is 6.7 K/W. The thermal resistance actually evaluated is 6.4 K/W, which substantially agrees with the calculated value. This shows that the contacting thermal resistance is as small as can be ignored due to the elasticity of the heat-conducting member, and if the thickness, length, and the like are controlled, substantially desired thermal resistance can be realized.

[0054] FIG. 10 shows the heat balance of Embodiment 2 whereto a heat-conducting member of a thermal conductance of 0.3 W/K is applied. From FIG. 10, it is known that when the temperature of the ceramic plate is 400° C., 300° C., and 200° C., the electric power that can be supplied increases to 921 W, 477 W, and 202 W, respectively.

[0055] Therefore, according to Embodiment 2, since a heat-conducting member is sandwiched between the ceramic plate and the cooling jacket, if the heat input is 202 W or less, a uniform temperature distribution can be realized within a wide temperature range as high as between 200° C. and 500° C. by the simple structure as in Embodiment 1.

[0056] Although the heat-conducting member of Embodiment 2 is ring-shaped, and is disposed coaxially with the center axis of the cooling jacket, and this is because the distribution of heat transfer is made axisymmetric, the present invention is not limited to this structure. For example, a plurality of small ring-shaped heat-conducting members maybe arranged. What is important is that the heat-conducting member has elasticity in the thickness direction, and the thermal resistance is controlled. When the heat-conducting member is disposed in the vicinity of the circumference as Embodiment 2, since the wraparound of the reaction products or gases that can deposit is reduced, and the effects such as decrease in the number of cleaning and the elongation of usable life can be expected.

[0057] FIG. 11 shows the results of measurement of temperature distribution on the surface of the wafer when the wafer is held using the wafer processing apparatus of Embodiment 2. The temperature of the wafer is measured using a thermocouple manufactured by SensArray Japan Corporation buried in the wafer. From FIG. 11, it is known that substantially uniform temperature distribution of within ±7° C. from the mean temperature of the wafer from 283° C. to 414° C. can be realized.

[0058] FIG. 12 shows the surface of the cooling jacket of Embodiment 3 of the present invention. In Embodiment 3, a groove 26 is added inside the groove 25 in order to introduce two heat-conducting members of Embodiment 2. This example is an effective means for further improving the heat conduction capacity of the heat-conducting member when the heat input to the wafer is larger than the heat input of Embodiment 2. FIG. 13 shows the heat balance when the thickness and the shape of the heat-conducting member are reviewed, two heat-conducting members are used, and the thermal conductance is made 1 W/K. From FIG. 13, it is known that when the temperature of the ceramic plate is 400° C., 300° C., and 200° C., the electric power that can be supplied increases to 1168 W, 652 W, and 307 W, respectively.

[0059] Although the surface of the heat-conducting member is not specially treated in the above embodiments, if the surface is plated by a soft metal such as nickel and gold, the repeatability of contacting of the ceramic plate with the cooling jacket will further be improved.

[0060] Although the case where the temperature of the bell jar facing the wafer stage is controlled to 70° C. to 120° C. is described in the embodiments of the present invention, the temperature control is not always necessary. When the temperature control is not performed, the structure of the processing apparatus can be simplified, and the effect of cost reduction can be expected. However, in such a case, the temperature of the bell jar elevates with increase in the number of processed wafers, the effect of exhaust heat by radiation to the bell jar reduces, and the above-described controllable wafer bias power lowers. Also, there is a problem of change in etching properties due to change in depositing state under the conditions where reaction products deposit on the internal wall of the bell jar, and whether temperature control is performed or not should be determined by the users of the apparatus.

[0061] Although the electrostatic chuck for fixing wafers is a chuck having a monopolar internal electrode, known as a monopole system, the present invention is not limited thereto. The electrostatic chuck may have two independent internal electrodes, known as a bipolar system. Although this system has disadvantages that the structure is complicated because of the need of two internal electrodes, and two power sources are required, it can attract wafers without plasma, and since the cooling gas can be introduced to the back of the wafers before starting plasma treatment, this system has the advantage of excelling in temperature controllability.

[0062] Although the plasma source of the processing apparatus in the embodiments of the present invention is induction-coupling plasma, the present invention is not limited thereto. For example, a plasma source may be of the parallel plate system, the UHF-band electromagnetic radiation discharge system or the microwave system, or the plasma system using the VHF band of several ten to about 300 megahertz. Other than these, for example, a magnetron-type plasma treatment apparatus using magnetic fields may also be used. The adoption of plasma source among these should be selected according to the properties of the material to be actually treated.

[0063] According to the present invention, as described above, the temperature distribution of wafers can be maintained uniform within a wide range from 200° C. to a high temperature such as 500° C., and the temperature variation can be minimized even during processing. Therefore, nonvolatile materials, which cannot be etched by normal processes, can be subjected to etching treatment.

Claims

1. A wafer stage for supporting semiconductor wafers comprising an internal electrode for impressing a direct-current voltage and a radio-frequency voltage and a ceramic plate incorporating a heater wiring fixed with bolts to a cooling jacket whose inside is cooled with a cooling medium, wherein said ceramic plate is vacuum-insulated from said cooling jacket, and heat transfer between said ceramic plate and said cooling jacket is performed by radiant heat transmission.

2. A wafer stage for supporting semiconductor wafers comprising an internal electrode for impressing a direct-current voltage and a radio-frequency voltage and a ceramic plate incorporating a heater wiring fixed with bolts to a cooling jacket whose inside is cooled with a cooling medium, wherein a heat-conducting member having elasticity in the vertical direction is inserted between said ceramic plate and said cooling jacket so that both ends of said heat-conducting member contact said ceramic plate and said cooling jacket, and heat transfer between said ceramic plate and said cooling jacket is performed by radiant heat transmission and the heat conduction of said heat-conducting member.

3. A wafer processing apparatus for the plasma treatment of semiconductor wafers having a wafer stage for supporting semiconductor wafers comprising an internal electrode for impressing a direct-current voltage and a radio-frequency voltage and a ceramic plate incorporating a heater wiring fixed with bolts to a cooling jacket whose inside is cooled with a cooling medium, wherein said ceramic plate is vacuum-insulated from said cooling jacket, the temperature of the member of said wafer processing apparatus facing said ceramic plate is controlled with a temperature control means, and said ceramic plate is cooled by heat transfer by radiant heat transmission to said cooling jacket and said member.

4. A wafer processing apparatus for the plasma treatment of semiconductor wafers having a wafer stage for supporting semiconductor wafers comprising an internal electrode for impressing a direct-current voltage and a radio-frequency voltage and a ceramic plate incorporating a heater wiring fixed with bolts to a cooling jacket whose inside is cooled with a cooling medium, wherein a heat-conducting member having elasticity in the vertical direction is inserted between said ceramic plate and said cooling jacket so that both ends of said heat-conducting member contact said ceramic plate and said cooling jacket, the temperature of the member of said wafer processing apparatus facing said ceramic plate is controlled with a temperature control means, and said ceramic plate is cooled by radiant heat transmission and heat conduction to said cooling jacket and heat transfer by radiant heat transmission to said member.

5. A wafer stage or a wafer processing apparatus according to claims 1 to 4, wherein at least three heat insulating members of a constant height are inserted between said ceramic plate and said cooling jacket.

6. A wafer processing method wherein the temperature of said ceramic plate according to claims 1 to 4 is controlled by controlling at least one of electric power supplied to said heater, the temperature of said member, the temperature of said cooling medium, or the flow rate of said cooling medium.

7. The wafer stage or wafer processing apparatus according to claims 1 to 4, wherein a black paint is applied onto the surface of said cooling jacket.

8. The wafer stage or wafer processing apparatus according to claims 1 to 4, wherein an irregularity is formed on onto the surface of said cooling jacket.

9. The wafer stage or wafer processing apparatus according to claims 2 or 4, wherein the material of said heat-conducting member is a stainless steel or Inconel.

10. The wafer stage or wafer processing apparatus according to claims 2 or 4, wherein the number of said heat-conducting member can be adjusted.

Patent History
Publication number: 20040045813
Type: Application
Filed: Sep 3, 2002
Publication Date: Mar 11, 2004
Inventors: Seiichiro Kanno (Niihari-gun), Ken Yoshioka (Hikari-shi), Ryoji Nishio (Kudamatsu-shi), Saburou Kanai (Hikari-shi), Hideki Kihara (Kudamatsu-shi), Koji Okuda (Kudamatsu-shi), Manabu Edamura (Niihari-gun)
Application Number: 10232364
Classifications
Current U.S. Class: Cells (204/242); With Electrode Supporting Means (204/286.1)
International Classification: C25D017/00;