Multi-tool control system, method and medium

- Applied Materials, Inc.

A system, method and medium for facilitating communication between tools in a semiconductor (e.g., wafer) processing facility. In particular, the present invention provides greater control of the overall semiconductor product output of groups of tools in terms of the quantity and/or quality of a final semiconductor product.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
RELATED APPLICATION

[0001] This application is a continuation of U.S. patent application Ser. No. 09/469,227, filed Dec. 22, 1999, which is incorporated herein by reference.

BACKGROUND OF THE INVENTION

[0002] 1. Field of the Invention

[0003] The present invention relates to the control of tools and the communication among tools in a multi-tool semiconductor processing environment. More specifically, embodiments of the present invention relate to a system, method and medium for control of and communication among wafer processing tools in a wafer processing environment.

[0004] 2. Related Art

[0005] In today's semiconductor manufacturing environment, a facility for the production of semiconductor products (such as, e.g., wafers) will typically contain multiple tools, each for performing one or more of a variety of functions. Thus, where a wafer is being processed into items such as logic (e.g., central processing units) or memory (e.g., DRAMs) units, each tool performs some specified function on the wafer, and then the wafer is passed on to the next tool. (The final product output, i.e., final state of the wafer, in this example, eventually gets cut up into individual chips, e.g., Central Processing Units, DRAM's, etc.)

[0006] An example of a conventional semiconductor manufacturing facility is now described with regard to FIG. 1. Referring now to FIG. 1, a host computer 104 is shown as being in communication and control of the various aspects of the semiconductor manufacturing facility. More specifically, host computer 104 is in communication with Tools 1-3 (112-116, respectively) used to process (or inspect) semiconductor products. Thus, for example, Tool 1 (112) might be a deposition tool, while Tool 2 (114) might be a chemical mechanical polishing (CMP) tool.

[0007] For each tool shown in FIG. 1, there exists an associated station controller (106-110). These station controllers are used to facilitate the communication between the tools (112-116) and the host computer 104. Since the tools often have disparate protocols, it becomes necessary to implement the station controllers (112-116) to allow the tools to communicate using protocol common to the semiconductor processing facility, and thus communicate with the host computer 104. Such common protocols that may be used to ultimately communicate with the host computer 104 include SECS/GEM and HSMS.

[0008] In addition, host computer 104 is also in communication with a material transport control 102, which controls an external material transport system 118. The external material transport system 118 is what physically transports the semiconductor products (at their various stages of production) from one tool to another. (Typically, the semiconductor products are contained in cassettes, boxes or pods of 25 units.) Consequently, a semiconductor “tool” can be defined as a device that performs a given function or functions on a given semiconductor product (e.g., a wafer), whereby some external material transport system is required to transport the semiconductor product to and from the tool (and, thus, from and to other tools).

[0009] Various deficiencies have been found to exist using the conventional semiconductor factory scheme as described above. These deficiencies typically relate to the problems associated with communication and control of the tools, and can have effects on both the quantity and quality of the final (and intermediate) semiconductor products. Some of these deficiencies are described below.

[0010] Conventional semiconductor processing facilities contain tools whose individual output (in terms of quantity and/or quality) is controllable, and can be set to some amount/specification for a given tool. However, each tool is just one part of the overall wafer production process. Furthermore, the output of a given tool typically results in at least some variation from wafer to wafer. Consequently, in order to accurately control the quality and quantity of the final output resulting from the work of multiple tools, it would be desirable to effectively coordinate the efforts of the multiple tools by, e.g., facilitating enhanced communication to and between tools. This would more readily facilitate, for example, 1) allowing a tool to send information forward to a second tool to compensate for the variations in the output (in terms of quantity and/or quality) of the previous tool, and/or 2) allowing a tool to notify a previous tool of a variation so that the previous tool can compensate by modifying its procedures for the benefit of subsequently-processed products. However, protocols (which are currently very host-centric) do not currently exist to readily facilitate communication among tools. Consequently, what is needed is a scheme to facilitate communication between two or more tools so that the final product output from a combination of tools can be more accurately controlled, adjusted and predicted.

[0011] Another problem with conventional semiconductor processing facilities relates to the modification of recipes for particular semiconductor products being processed in the semiconductor processing facility. (A “recipe” is a sequence of steps that one or more semiconductor products are directed to go through within a given tool and/or series of tools.). Conventionally, if a recipe needs to be modified for a particular purpose (e.g., one or more individual semiconductor products needs to be specially treated), the entire recipe would become corrupt (e.g., the recipe would be changed and also there is no tracking or recording of the modifications made to the recipe for the individual semiconductor products. Consequently, what is needed is a scheme to systematically implement, track and record modifications made to an initial recipe for particular individual semiconductor products (e.g., such as semiconductor wafers) without corrupting the entire recipe.

[0012] Another deficiency with conventional schemes relates to determining whether a tool or set of tools, each capable of producing a number of different products (e.g., such as particular types of central processing units) and/or capable of implementing a number of different steps is prepared to produce a particular semiconductor product that has been requested by the semiconductor processing facility (e.g., requested by the host computer 104) and/or is prepared to implement required/requested step(s). Knowledge of such information is clearly important so that proper planning can be undertaken before materials are sent to the various appropriate tools in the semiconductor processing facility. Consequently, what is needed is a scheme for determining whether a tool or series of tools are ready for the production of a particular semiconductor product and/or for the implementation of required/requested steps. Knowledge of related information, such as when a tool or tools will be undergoing some type of maintenance (such as, e.g., preventive maintenance), is also desirable to obtain in conjunction with whether one or more tools are ready for producing a given semiconductor product.

[0013] Yet another problem with conventional schemes relates to conveying historical (and related) information specifically regarding one or more semiconductor products to specific tools within the semiconductor processing facility as the semiconductor product(s) travel to those tools for processing or inspection. While conventional schemes can convey process or inspection information about semiconductor product(s) to the host computer 104 (for use in any number of disparate ways), these schemes do not actually and automatically associate information about the semiconductor product with the semiconductor product as it travels through the semiconductor processing facility or make this information available to process and inspection tools. Consequently, what is needed is a scheme for associating historical (and related) information with a semiconductor product as it travels (and is processed) through a semiconductor processing facility.

[0014] Because of the deficiencies mentioned above, tools need to be shut down for maintenance more frequently than might otherwise be the case. Specifically, when a semiconductor product is processed by a tool, the resultant semiconductor product typically contains at least some variance (e.g., in terms of crystalline structure and/or physical specification) from what is optimally desired. This variance can occur due to any number of factors, including 1) that parts of the tool are wearing down and/or, 2) that the tool is in a foundry environment, where it is requested to participate in the production of many different products over a relatively short amount of time (and the switching from one product to another does not, e.g., fully recalibrate certain aspects of the tool). At some point, if the variance becomes too great (despite efforts to, e.g., adjust the controls on the tool), the resultant semiconductor product will be unacceptable, and the tool causing the variance will need to be shut down for maintenance. However, if there were some way to convey variance information (e.g., historical and related information) to a subsequent tool, and the unacceptable variance can be compensated for by that subsequent tool, then the tool causing the variance could continue to operate without the need for a maintenance shut down. Allowing a tool causing the variance to operate for a longer period of time without requiring maintenance would clearly be beneficial from a cost and yield perspective.

SUMMARY OF THE INVENTION

[0015] The present invention alleviates the deficiencies of the prior schemes mentioned above by providing a system, method and medium for facilitating communication among tools in a semiconductor (e.g., wafer) processing facility. In particular, the present invention provides greater control of the overall semiconductor product output of groups of tools in terms of the quantity and/or quality of a final semiconductor product. Embodiments of the present invention contemplate that this is implemented by providing enhanced communication among a group of tools which form a “module” (where the module is contemplated to provide some designated function or functions). This communication can be facilitated via a module control mechanism, which could be a separate “module controller,” and/or computer/communications facilities residing in the individual tools themselves. This enhanced communication allows for more effective feedback and feed forward capabilities so that variations found in a particular semiconductor product can effectively and automatically trigger appropriate compensation mechanisms.

[0016] More specifically, the present invention contemplates implementing the above-mentioned concepts by providing that modifications to a recipe can be made to one or more semiconductor products without it affecting (e.g., corrupting) the entire recipe. Also, such special modifications are recorded, so that they can be noted by subsequent (or previous) tools. As part of (or possibly separately from) this, the present invention also contemplates that a “traveling information” file can be associated with one or more wafers, and travel with the one or more wafers throughout the semiconductor processing facility.

[0017] In addition, the present invention also provides facilities to query one or more tools to determine whether or not the tools are ready for the production of a specified semiconductor product (and when in the tool's maintenance cycle some type of maintenance is scheduled to occur) and/or for the implementation of required/requested steps so that appropriate actions can be taken.

BRIEF DESCRIPTION OF THE DRAWINGS

[0018] Various objects, features, and attendant advantages of the present invention can be more fully appreciated as the same become better understood with reference to the following detailed description of the present invention when considered in connection with the accompanying drawings, in which:

[0019] FIG. 1 is a block diagram showing a conventional semiconductor processing facility.

[0020] FIG. 2 is a block diagram depicting an exemplary module configuration of tools, as contemplated by embodiments of the present invention.

[0021] FIG. 3 shows a flow diagram depicting a method of operation for implementing various tool-related communication schemes as contemplated by embodiments of the present invention.

[0022] FIG. 4a illustrates three possible states of a tool in response to a tool status request.

[0023] FIG. 4b depicts exemplary steps for querying (and receiving information from) a tool, as contemplated by embodiments of the present invention.

[0024] FIG. 5 is a block diagram depicting a traveling information file associated with one or more wafers, as contemplated by embodiments of the present invention.

[0025] FIG. 6 depicts an exemplary format of the traveling information file.

[0026] FIG. 7 depicts an exemplary hierarchy of IDs.

[0027] FIGS. 8a and 8b depict exemplary scenarios for communications involving the transport of materials through the semiconductor processing facility.

[0028] FIG. 9 depicts an exemplary form of information relating to wafers in a “cassette.”

[0029] FIG. 10 depicts an exemplary computing device which can exist as (or be a part of) various entities described herein, including the host computer, tools and module controller.

DETAILED DESCRIPTION

[0030] The present invention relates to the control of tools and the communication among tools in a multi-tool semiconductor processing environment. More specifically, embodiments of the present invention relate to a system, method and medium for control of and communication among wafer processing tools in a wafer processing environment.

[0031] While it should be understood that aspects of the present invention can relate to any number of types of semiconductor products (hereafter “products”), for the purposes of example and discussion herein, the particular type of semiconductor product referred to shall typically be envisioned to be a “wafer.”

[0032] Aspects of the present invention (and embodiments thereof) relate to facilitating communication between two or more tools in a wafer processing facility for the purpose of synergistically achieving a greater degree of control of the quality and/or quantity of the combined, final output of the tools (e.g., in a pre-set or user-specified manner). In various embodiments, these tools (for which such communication is facilitated) are grouped together into “modules” for performing certain specified functions. To facilitate the tool-to-tool communication to implement the specified functions, embodiments of the present invention contemplate the use of a “module controller,” which is envisioned to be separate from (but is contemplated to be in communication with) a host computer. Embodiments of the present invention envision that the module controller may be a separate entity and/or some or all of its functionality can reside in the tools, themselves.

[0033] The module concept is now described in greater detail with regard to FIG. 2. Referring to FIG. 2, tool 1 (204) and tool 2 (206) are depicted to be part of a module 218 (where the collection of tools within module 218 is envisioned to perform one or more specified overall functions). At least some embodiments of the present invention contemplate that each of tools 1 and 2 (204 and 206, respectively) contains a communication control (210 and 214, respectively) which enables each of the tools (204 and 206) to communicate with each other directly (e.g., via a communication link 220) without the use of a separate module controller 216. In that situation, it is contemplated that the tools contain sufficient “intelligence” (e.g., the tools have a built-in computer mechanism within communication control 210 and/or 214 to process and communicate information relating to the wafers processed by the tools). This intelligence allows the tools to communicate directly with each other, utilizing at least some of the various protocols and techniques as described herein. In addition, embodiments of the present invention contemplated that this intelligence can reside in any one tool or it can be distributed in some manner among the various communication controls (e.g., 210 and 214) of the tools. Also, in this scenario, tools 1 and 2 will depend on various information (e.g., initial recipes) being received directly from the host computer (e.g., via host communication 208 and 212, and/or through a traveling information file as described below), since a separate module controller 216 would not be used.

[0034] Other embodiments of the present invention envision that some or all of the communication aspects between tools are routed through a module controller 216 that exists as a separate entity from the tools (204 and 206). In this scenario, it is contemplated that the host computer 202 is in communication with the module controller 216, and the module controller is in communication with the tools (204 and 206).

[0035] In either of the scenarios mentioned above with regard to, e.g., use, partial use or non-use of a separate module controller 216, the host computer 202 is generally contemplated as being used to control the overall function of the wafer processing facility (of which the module 218 is at least a part) and is in communication with that part of the module 218 that, e.g., receives instructions regarding product recipes or conveys tool status. Thus, host 202 exists and functions separately from the module controller 216 and from the tool-to-tool communication functionality thereof that might otherwise exist in the tools. Also, in either scenario, it is contemplated that a unifying protocol between the various components of the wafer processing facility alleviates the need to use station controllers, as described previously.

[0036] Embodiments of the present invention contemplate that at least a part of the purpose of the host computer 202 is to convey or select initial recipes for the tools, and also query the tools and initiate the production of a requested product using the tools. In addition, it is also contemplated that host computer 202 has at least some control with regard to any external material transport system that may be in use.

[0037] The dotted lines in FIG. 2 indicate connections and devices that may or may not exist depending particularly upon whether or not there is a separate module controller 216 being used (i.e., depending upon the particular embodiment contemplated).

[0038] It should be understood that embodiments of the present invention contemplate that a “module” can be a set, physical entity (e.g., three tools and a module controller) that is put together in a kind of discrete package to perform a pre-set function and/or a module can be defined within a multi-tool semiconductor processing environment (e.g., three existing tools in a factory can be chosen to perform a given function and caused to communicate to facilitate performance of that function) or, three tools can be dispersed within the factory and a wafer routed therethrough to facilitate a series of prequalified steps leading to a known overall result. It should also be understood that either of the above possibilities contemplate embodiments that use, and that do not use, a separate module controller 216.

[0039] Embodiments of the present invention envision that any number of different types of tools could be used with any of the various “module” schemes described above (or in other non-module setting contemplated herein). A specific example of a module contemplated by embodiments of the present invention is one that envisions the usage of copper in the production of a wafer, for example to fill features such as vias, trenches and/or contacts which extend through an insulative layer previously deposited and etched while on the wafer. The exemplary tools that could be used in this module include 1) a “sputtering” tool to deposit a liner layer and a seed layer onto a wafer and the features in a film layer thereon for facilitating the further deposition of copper, 2) an “electroplating” tool to deposit copper onto the wafer to fill the features, and 3) a chemical/mechanical/polishing (CMP) tool to remove excess material after the electroplating process has been completed to facilitate further processing of the wafer. Thus, in this module, it is contemplated that a wafer will be passed through each of these above-mentioned tools in turn. Some embodiments of the present invention contemplate the use of a separate “metrology” tool to measure the thickness of the copper to determine how much polishing needs to be done by the CMP tool. (Alternatively, the “metrology” function can also be incorporated into one of the aforementioned tools, such as the CMP or electroplating tool, itself, in the form of, e.g., a metrology station.) Thus, the measuring of thickness and/or uniformity of a film (in this exemplary case, a copper film), and then using that measurement information to determine the polishing that is needed (e.g., how much, if any, to deviate from the amount of polishing otherwise specified by an initial recipe), are characteristic aspects contemplated by embodiments of the present invention.

[0040] In addition, the measurement of thickness and/or uniformity of a film within a multi-function (e.g., cluster) tool by a first functional unit and use of that measurement information to adjust a second functional unit (e.g., a polishing unit) within that same tool is also an aspect contemplated by various embodiments of the present invention. In such an instance, it is envisioned that many of the characteristics and features described herein (e.g., use of a module controller to effect communication among functional units) are applicable to this multi-function tool embodiment.

[0041] Another example of a “module” is one that uses a set of tools to perform a “deposition/etch” function. For this module, exemplary tools include 1) a deposition tool for dielectric film deposition, 2) a photolithography tool, 3) an etching tool, and 4) an inspection tool to inspect the results of the etching. As contemplated in this example, if inspection of a wafer by the inspection tool indicates that any of the previously-mentioned tools did not function as expected, then feedback can be given to those tools so that they can recalibrate themselves to produce a more desirable result for subsequent wafers that will go through the process. In this way, enhanced communication (whether facilitated by a module controller 216 or “intelligence” in the tools) thus facilitates enhanced quality of the wafers.

[0042] In the examples mentioned above, the tools can be made by any number of companies, such as Applied Materials of Santa Clara, Calif. or Nikon Corporation of Tokyo, Japan. Thus, the various embodiments mentioned above (e.g., use of the module controller 216 or enhanced intelligence implemented within communication control 210, 214) can be implemented using various ones of such tools. Some specific examples of tools manufactured by Applied Materials that can be used in the “deposition/etch” example mentioned above are as follows: the “dielectric deposition” tool can be the “Applied Producer” tool, the etch tool can be the “Centura Etch,” and the inspection tool can be the “Applied CD SEM” tool.

[0043] Of course, it should be understood that the present invention contemplates that any number of other different tools (in addition to what is mentioned above) can also be used, so long as they can be interfaced (with each other and with a host computer) using any existing or future-recognizable protocols such as TCP/IP, DCOM, SECS/GEM, CORBA and/or HSMS, and operating systems such as NT (from Microsoft Corporation of Redmond, Wash.). Also, it should be evident that any number of different types of tools are contemplated, such as processing tools and inspection tools.

[0044] Embodiments of the present invention envision that module controller 216 and/or communication control (210 and 214) in tools 1 and 2 and/or host computer 202 can contain standard computer components (such as those found in PC compatible processors) such as Pentium processors from Intel Corporation of Santa Clara, Calif.). (This is also discussed further below with regard to FIG. 10.)

[0045] The present invention contemplates the use of various embodiments to assist in facilitating the communication schemes (and other envisioned aspects) described above with regard to FIG. 2. It should be understood, however, that these various embodiments are, themselves, also contemplated for use separately from any use that may be associated with the “modules” as indicated above (and in some instances may not even be applicable to the module scheme). These various embodiments are now described below.

[0046] A method of operation for implementing some of the various embodiments that assist in facilitating communication schemes as alluded to above are now discussed with regard to FIG. 3. Referring to FIG. 3, the first step is that wafers are dispositioned (i.e., committed to production), as indicated by a block 302. Thus, in this step it is contemplated that the semiconductor processing facility (or some portion thereof) dispositions wafers (in some initial or intermediate state) to be processed into some finished (or at least intermediate) product.

[0047] The next step is that a request is forwarded to the tool(s) in the wafer processing facility to produce a specified product, as indicated by a block 304. (The tools receiving this request can, e.g., be part of a “module.”) In embodiments contemplated by the present invention, such a request could be forwarded, for example, by a host computer.

[0048] The next step is to determine whether the tool(s) are ready to produce the specified product, such as a specific film layer having specified characteristics or features, re, crystalline structure, refluctivity, flatness, etc., as indicated by a decision block 306. (Embodiments of the present invention also contemplate that a determination can be made regarding whether one or more tools are ready to implement some specifically requested or required step or steps.) As will be discussed further below, a tool may not be ready to produce a product for any number of reasons, including that the tool is currently only ready to produce an entirely different product (where the tool is capable of producing multiple products) or that the tool is off-line because it is undergoing maintenance. Thus, where a tool is not ready to produce a requested product, any number of actions can be taken, including waiting until the tool (or tools) is ready to produce a specified product and/or notify the user of the status of the tool and/or run some specified program which will take some designated action. This is indicated by a block 308.

[0049] If the necessary tool(s) are ready to produce the specified product, then one or more initial recipes can be accessed (e.g., requested) by the appropriate tools or forwarded to the tools by a host computer, so that the tools will process the wafers as instructed. This is indicated by a block 310. Then, the next step is to begin processing wafers according to one or more recipes, as indicated by block 312.

[0050] During the course of processing the wafers in accordance with the recipes, it may be the case that one or more wafers need to be processed somewhat differently than would otherwise be indicated by an initial recipe. For example, if a wafer is etched at one stage of the processing, it may be desirable at a subsequent stage to treat that wafer somewhat differently to compensate for variations in the etch process not consistent with a desired goal. Consequently, it is envisioned that a determination is made as to whether any wafer or wafers require treatment differing from the initial recipe(s), as indicated by a block 314. If the answer is “yes,” then the appropriate steps of the recipe are modified only for the specified wafer(s) needing special treatment, as indicated by a block 318. The remaining wafers are still processed in accordance with the initial recipes steps. Any special modifications that were made to any of the wafers are recorded for subsequent potential retrieval so that the history of any of the specially modified wafers can be ascertained (e.g., by a subsequent tool or the host). In this way, modifications are implemented and kept track of, while the initial recipe is kept intact for the remaining wafers that were not in need of any special modification.

[0051] For wafers not requiring any treatment differing from the initial recipe(s), then those wafers are processed in accordance with the initial recipe(s) as indicated by a block 316.

[0052] It should be understood that the steps (and sequence thereof) as depicted and discussed with regard to FIG. 3 are merely by way of example, and that the present invention contemplates the use of additional steps, as well as various modifications of those steps mentioned.

[0053] As indicated above, embodiments of the present invention contemplate the use of tools capable of potentially participating in the manufacture of any number of different products. To coordinate the effort to produce a given product, embodiments of the present invention contemplate that those tools involved in the production process are capable of receiving certain types of commands from, and conveying status (e.g., availability) information to, some central command/initiation computer such as a host computer. As an example of this, embodiments of the present invention contemplate that a status inquiry may be undertaken with regard to whether one, several, or an entire factory of tools are currently “ready” for the production of a specified product.

[0054] Various embodiments of the present invention contemplate that any given product that can be manufactured by the wafer processing facility (and thus, which a tool can participate in the manufacture of) has a specified Product ID associated with it. Thus, where it is desired to produce a given product, a status request is sent (e.g., by a host computer) to determine whether a tool (and/or all tools that would be involved in the process) are ready to participate in the manufacture of the desired product. In response to this status request, a “tool status” is then returned for each tool, indicating the status of the particular tool for the request as given.

[0055] An exemplary form of the “tool status” that is returned by a tool as contemplated by embodiments of the present invention is shown at FIG. 4a. Referring to FIG. 4a, this example depicts three different possible states that a given tool (having a specified “Tool ID”) can have (in actual use, it is envisioned that only one of these states is actually returned by the tool). In state one, the tool has indicated that it is ready to participate in the production of the product that has been requested. When this state is returned, it is returned with certain other items of information, including the time until the tool becomes inactive due, e.g., to the fact that it undergoes some type of maintenance (e.g., preventive maintenance [pm]), and the number of wafers that the tool may process before the maintenance occurs. In embodiments of the present invention, this information can be important since, even if the tool indicated that it is “ready for production” of a particular product, it may be scheduled to undergo maintenance in a short period of time. In that case, the controlling entity (e.g., host computer) may decide to postpone production of the desired product until after the maintenance, and may even command the tool to immediately initiate the maintenance procedure (so that production of the desired product can begin that much earlier).

[0056] A second possible state that can be returned (as shown in this example of FIG. 4a) is one where the tool is currently down for maintenance. In that case, as contemplated by embodiments of the present invention, an item of information returned with that state includes the time remaining until the tool is back up for production.

[0057] A third possible state that can be returned as contemplated by embodiments of the present invention is that the tool is “currently running” some other job (e.g., involved in the production of some other product). In that state, it is contemplated that the number of wafers before completion of the currently-running job is returned, as well as a time and number of wafers until maintenance. In addition, embodiments of the present invention also contemplate that, where a particular product requested is not the same as the one currently running and some time is required to re-set the tool in order for it to participate in making the requested product, then that amount of time will also be returned.

[0058] It should be understood that the present invention contemplates the usage of any number of different states and/or the ability to return and process any number of different items of information. In addition, embodiments of the present invention contemplate that the information returned in a “tool status” can indicate which of possibly multiple steps that the tool performs in its participation of making a given product are “ready.” Thus, for example, a particular tool may implement three different steps while participating in the production of a particular product, but at a given point in time the tool may be ready to implement only two of them. In addition, it is also contemplated that some central command (e.g., host) computer could also directly poll a tool as to whether it is ready to implement some specified step that the tool may generally be capable of implementing.

[0059] A sequence of exemplary steps for requesting the manufacture of a particular product in accordance with the principles mentioned above is now discussed with regard to FIG. 4b. Referring to FIG. 4b, the control entity (e.g., host computer) sends out a tool status request, as indicated by a step 1. This can be in the form of a list of one or more product ID's sent to a single tool, across two or more tools, or even to all tools in a wafer processing facility.

[0060] Step 2 indicates that the “tool status” has been sent by the tool to the control entity (e.g., host ) (e.g., as was discussed with regard to FIG. 4a above).

[0061] Once an indication has been sent that the necessary tools are ready to make the requested product, then in step 3, a “tool service request” is initiated (containing the relevant product ID and/or tool ID's) to initiate the manufacture of the product or to perform some tool service (e.g., maintenance). Since various events could occur between the time that the “tool status” of step 2 is received and the time that the tool service request is initiated (e.g., a tool could have broken down), embodiments of the present invention contemplate that the host computer then waits to receive an indication whether the tool service request has been granted or rejected, as indicated by step 4. If service is “granted,” the tool service will start, as indicated by step 5. (Otherwise, if service is rejected, or if no response to the tool service request is received (and a “time-out” occurs), then the tool service will not be initiated.)

[0062] If tool service has been initiated, then when completed, the tool will send, e.g., the host computer a “tool service completed” message, as indicated by step 6.

[0063] It should be understood that the various states and parameters of FIG. 4a and steps of FIG. 4b are examples contemplated by the present invention, and that the present invention envisions that any number of different types of parameters, steps, etc. can also be used to implement the features contemplated herein.

[0064] Embodiments of the present invention contemplate that historical information pertaining to groups (e.g., “cassettes”) of wafers or even to a single wafer be recorded, and that this information “follow” the wafers (or wafer) through the journey through the wafer processing facility. In this way, if a wafer was processed by a given tool such that an undesirable variation occurred, then this recorded information will be following the wafer to a subsequent tool, where appropriate compensation for the variation can take place. Thus, for example, if the information associated with a given wafer indicates that it was heated to a less than adequate temperature within a certain tool, a subsequent tool receiving the wafer may be able to utilize this recorded information to compensate for the effects of reduced temperature.

[0065] A scheme for implementing the wafer information recordation as described above is depicted by FIG. 5. Referring now to FIG. 5, a Wafer X is shown as being conveyed from a tool 1 (502) to a tool 2 (504) via an external material transport system 510, which may be either manual or automated. In addition to wafer X itself, a traveling information file (referred to in this example here as “Wafer X file”) 506 is also conveyed via a communication link 508 from tool 1 (502) to tool 2 (504). (Embodiments of the present invention contemplate that tool 2 could automatically be passed the Wafer X file, or that it would request the Wafer X file upon receipt of Wafer X. In the latter case, such request could be made directly of tool 1 and/or of some module control mechanism. In either case, control of the Wafer X file gets transferred to tool 2.)

[0066] The Wafer X file 506 mentioned above can contain any number of different items of information which may be relevant in the processing of a wafer (to make a desired product) as it is processed by the appropriate tools in the wafer processing facility. As indicated by Wafer X file 506, such information can be “feed forward information,” meaning that it can contain information which indicates how the Wafer X should be treated differently than would otherwise be indicated by the initial recipes. Depending upon the variation as recorded in the wafer history (i.e., in the Wafer X file), deviations from the initial recipe(s) can be a difference in one step on a single tool, or multiple steps over several tools. Generally, it is envisioned that whatever corrective measures need to be taken to compensate for the variation would be implemented.

[0067] As an example of a specific application of the use of a traveling information file such as Wafer X file 506 of FIG. 5 and environments used therewith, tool 2 (504) can be a CMP apparatus, and tool 1 (502) can be a metrology device that can generate information about a wafer and store it in the traveling information file. Assuming that a thickness or uniformity profile (e.g., an indication of the thickness or uniformity of a wafer layer as a function of the position on the wafer) can be derived from the “feed forward” information in the traveling information file, the CMP apparatus can then use that information to improve the polishing uniformity and compensate for variations that occurred at previous tools. Thus, if one radial region of the layer on the wafer is thicker than another region, the CMP apparatus can use the feed forward information to determine a plurality of pressures that will be applied to the different radial regions of the wafer. By applying a higher pressure to the thick region, material may be preferentially removed from the thick region, thereby improving the planarity of the resulting wafer and compensating for variations in a prior tool. An example of a chemical mechanical polishing system that can apply preferential pressures to a wafer is described in provisional U.S. Application Serial No. 60/143,219, filed Jul. 9, 1999, the entire disclosure of which is incorporated by reference.

[0068] While the description of FIG. 5 above has been in terms of a single wafer, it should be understood that the present invention also contemplates that the history of a group of wafers (e.g., a cassette or lot of wafers), to the extent that they have been treated substantially the same in at least certain instances, can also be recorded in a traveling information file and follow the group as it travels through the wafer processing facility.

[0069] In addition to, or in conjunction with, the use of the traveling information file, embodiments of the present invention also contemplate that feedback information can be utilized. Thus, for example, should one or more traveling information files which are received by tool 2 from tool 1 indicate that there is a variation with tool 1 which needs to be compensated for, feedback information 512 can be sent from tool 2 to tool 1 indicating to tool 1 that certain aspects of tool 1 need to be adjusted. (Embodiments of the present invention contemplate that this feedback can, in effect, be in the form of a copy of the traveling information file 506.) In this way, once tool 1 makes these adjustments, subsequent wafers can be processed in a desirable fashion.

[0070] It should be understood that the concepts described herein, particularly with regard to FIG. 5, result in certain distinct advantages relating to the present invention. For example, implementation of the “feed forward” concept as described above may allow a given tool to produce wafers with a greater variance (in terms of, e.g., crystalline structure and/or physical dimension) than would otherwise be acceptable in the course of producing a given semiconductor product, since a subsequent tool can then compensate for this variance. A result of this is that the necessity to shut an individual tool down (or slow its production) for maintenance purposes (so that the product the tool provides would be within a range where subsequent (or precedent) process compensation would not be necessary), decreases. Since the tools are down for maintenance less of the time, yield increases, and the cost of maintaining the tools decreases. Similar advantages can also occur by the implementation of the aforementioned feedback concept. Situations where the feed forward and feedback concepts are contemplated to be applicable include where parts of a tool incrementally change product results over time and must otherwise be replaced before their “end of life” to ensure that the resulting product is within narrow specified limits, and/or in a foundry environment, where a tool is directed to participate in the production of a different semiconductor product from the one that it was previously participating in, and the process provided by the tool must be changed for its manufacture of the second semiconductor product.

[0071] Embodiments of the present invention also contemplate that various concepts discussed herein, and particularly those relating to FIG. 5 above, are also applicable with regard to the measurement of thickness and/or uniformity of a film within a multi-function (e.g., cluster) tool. Thus, it is envisioned that, e.g., a first functional unit within a cluster tool can obtain measurement information relating to the thickness and/or uniformity of a wafer, and convey that information to a second functional unit within the cluster tool (e.g., one that performs a polishing function). The second functional unit can then (if needed or desired) adjust its operation (e.g., the amount of polishing) in accordance with the received measurement information.

[0072] An exemplary format for a traveling information file containing information for a single wafer (particularly where inherent computer intelligence is contemplated to exist in the tools, as described above) is now shown and described with regard to FIG. 6. Referring to FIG. 6, a Tool ID indicates the given tool for which a set of actions (e.g., recipe steps) are to be taken. As can be appreciated, such a set of actions is contemplated to exist in the traveling information file for each tool that will be used to process each wafer (having a specified Wafer ID) to create the desired product. As can be seen in FIG. 6, there are n Steps associated with the process, each of which is associated with a recipe. Thus, in this example, n “initial” recipes (which, as indicated above, are contemplated by embodiments of the present invention to have come from the host computer) are to be implemented by the tool having “Tool ID” as shown.

[0073] In conjunction with the recipes, parameters associated with the wafer are also recorded. The “parameters” represent those specific aspects to be implemented by the tool that are variations from the initial recipe. For example, if a particular Tool ID represented a polishing tool, and the wafer at issue needed an additional 10 seconds of polishing beyond what was otherwise prescribed by the relevant recipe, the need for the extra 10 seconds would be recorded into the “parameters” associated with the recipe. Thus, the “parameters” are calculated (e.g., by one of the tools), and recorded in the course of the wafer traveling through the wafer processing facility.

[0074] The “data list” is envisioned to contain any number of items of data that may pertain to the wafer, such as temperature of the wafer at certain times in the wafer's history, wafer thickness, uniformity, etc. It is envisioned that it is the information in this data list that is used, for example, to determine whether the wafer needs to undergo treatment different from that prescribed by the initial recipe (thus causing additional information to be entered into the “parameters”).

[0075] It should be understood that the format depicted by FIG. 6 as described above is by way of example, and that any number of different formats are also contemplated.

[0076] Typically, a tool in a wafer processing facility will receive wafers in various sized groups. Often, wafers will be sent to a tool in groups of one or more “cassettes,” (comprising typically 25 wafers). Each cassette can have its own “Material ID (cassette ID)” associated with it. A “lot” (consisting of a number of wafers) will typically comprise multiple cassettes (or portions of a cassette), and can have their own associated “Lot ID.” Finally, a “wafer” can, itself, have its own individual Wafer ID. One exemplary hierarchal structure for this is depicted by FIG. 7.

[0077] In addition to determining whether or not a tool or groups of tools are ready for the production of a given product, and in addition to conveying information about the status of a particular wafer's progress during processing, embodiments of the present invention also contemplate usage of, and operating within environments of, a material transport system, such as the type indicated in FIGS. 1 and 2. Further to the implementation of such a system, FIG. 8a depicts an exemplary scenario for the steps involved in the delivery of material (e.g., cassettes of wafers) from a material transport system (where the communicated information and/or materials emanate from a host computer/delivery system and/or another tool) to a tool, while FIG. 8b depicts an exemplary scenario for the steps involved in the retrieval of materials from a tool. As can be seen from FIGS. 8a and 8b, wafers at the cassette level (i.e., whole cassettes of wafers, each having a cassette ID) are what are being transported and queried. It should be understood, however, that any number of other types of scenarios, steps, and groupings of wafers are also contemplated for use with, and in environments of, the present invention.

[0078] FIG. 9 depicts information regarding a cassette of wafers (having a particular cassette ID). This information can be conveyed to a tool so that the tool can associate a particular wafer with its wafer ID, as well as identify which physical “slot” in a cassette the particular wafer having a given wafer ID is located at. In this way, when a tool needs to, for example, implement (or modify) one or more steps in a different way from that which is otherwise dictated by a given recipe, the tool will know which “slot” the relevant wafer is in when the cassette is delivered from or removed from the tool.

[0079] Embodiments of the present invention contemplate potentially operating with tools that may place a given wafer in a different cassette than the one it entered the tool in. However, where this is the case, the present invention contemplates that this occurrence would be anticipated and kept track of, so that any appropriate information corresponding to a given wafer continues to be associated with that wafer.

[0080] Embodiments of the present invention contemplate the use of various computers and computer components either as, or as a part of, various entities such as the host computer, tools and/or module controllers, and/or for use in environments therewith. An exemplary depiction of such a computing device that could be used with embodiments of the present invention is shown at FIG. 10. Referring now to FIG. 10, CPU(s) 1004 are shown to be in communication with a memory/storage device 1006 via bus 1002. CPU(s) 1004 can be any number of different types of processors, including those manufactured by Intel Corporation or Motorola of Schaumberg, Ill. The memory/storage device 1006 can be any number of different types of memory devices such as DRAM and SRAM as well as various types of storage devices, including magnetic and optical media, and that the memory/storage device 1006 can also take the form of a communications transmission.

[0081] A display device 1008 is also shown, which could be any number of devices conveying visual and/or audio information to a user. Also in communication with bus 1002 is an I/O interface 1010 for allowing the computing device 1000 to interface with other devices, such as host computers, tools or module controllers, depending upon which device the computing device 1000 (or portion thereof) represents.

[0082] The computing device 1000 can be an off-the-shelf device such as a personal computer (e.g., an Intel-based device), or can be merely components on a “rack.” Any number of operating systems, such as NT from Microsoft Corporation can be used. Also, it is further contemplated that computing device 1000 (and/or various components thereof) are connected via 1/0 1010 using, e.g., the communications mechanisms as generally described above, which may comprise networking mechanisms and protocols such as DCOM, the HSMS protocol standard used by SECS/GEM, and/or network operating systems such as NT or Novell from Novell, Inc. of Provo, Utah.

[0083] Of course, it should be, understood that the components described above are by way of example, and that the present invention contemplates that any number of different types of components and configurations can be used.

[0084] In general, it should be emphasized that the various components of embodiments of the present invention can be implemented in hardware, software or a combination thereof. In such embodiments, the various components and steps would be implemented in hardware and/or software to perform the functions of the present invention. Any presently available or future developed computer software language and/or hardware components can be employed in such embodiments of the present invention. For example, at least some of the functionality mentioned above could be implemented using the C, C++, or any assembly language appropriate in view of the processor(s) being used. It could also be written in an interpretive environment such as Java and transported to multiple destinations to various users.

[0085] It is also to be appreciated and understood that the specific embodiments of the invention described hereinbefore are merely illustrative of the general principles of the invention. Various modifications may be made by those skilled in the art consistent with the principles set forth hereinbefore.

Claims

1. A system for interactively monitoring and adjusting product output from the individual tool of a module, wherein the output is a result of the coordinated effort of two or more semiconductor preparation tools making up the module, the system comprising;

a first tool of said two or more semiconductor tools, said first tool capable of implementing a first process on a semiconductor product and producing a first output;
a second tool of said two or more semiconductor tools, said second tool receiving as input said first output from said first tool, and said second tool capable of implementing a second process on the semiconductor product and producing a second output,
wherein said first tool measures and obtains measurement data relating to the thickness and uniformity of a film, and wherein said measurement data is conveyed to said second tool for use in modifying a behavior of said second tool; and
a module control mechanism, said module control mechanism capable of facilitating the exchange of information between said first tool and said second tool so that the module yields a desired semiconductor product output, said semiconductor product output being, or resulting from, said second output.

2. The system of claim 1 wherein the said first tool includes a metrology station and said second tool includes a chemical mechanical polishing apparatus.

3. The system of claim 2, wherein modifying the behavior of said second tool includes determining a plurality of pressures to apply to different regions of the semiconductor product as it is pressed against a polishing surface.

4. The system of claim 1, wherein said module control mechanism is a part of said first tool, or is distributed between said first and second tools.

5. A system for controlling the quality and/or quantity of a final semiconductor product output from a multi-function tool, wherein the final semiconductor output is a result of the coordinated effort of two or more functional units making up the multi function tool, the system comprising;

a first functional unit of said two or more functional units, said first functional unit capable of implementing a first process on a semiconductor product and producing a first output,
wherein said first functional unit measures and obtains measurement data relating to the thickness and/ or uniformity of a film;
a second functional unit of said two or more semiconductor functional units, said second tool receiving as input said first output from said first functional unit, and said second functional unit capable of implementing a second process on the semiconductor product and producing a second output,
wherein said measurement data from the first functional unit is conveyed to said second functional unit for use in modifying a behavior of said second functional unit; and
a module control mechanism, said module control mechanism capable of facilitating the exchange of information between said first functional unit and said second functional unit so that the multi-function tool yields a pre-set or user-specified final semiconductor product output,
said semiconductor product output being, or resulting from, said second output.

6. The system of claim 5 wherein the said first functional unit includes a metrology station and said second functional unit includes a chemical mechanical polishing apparatus.

7. The system of claim 6, wherein modifying the behavior of said second functional unit includes determining a plurality of pressures to apply to different regions of the semiconductor product.

8. A method for associating information with a wafer in a semiconductor processing facility, comprising the steps of:

(1) processing a wafer at a first wafer processing tool, and storing first information pertaining to said wafer on a traveling information file,
wherein said traveling information file comprises information pertaining to the status of said wafer;
(2) transferring said wafer to a second wafer processing tool;
(3) transferring said traveling information file with said wafer to said second wafer processing tool;
(4) receipt of said traveling information file by said second wafer processing tool; and
(5) processing said wafer at said second processing tool using said first information in said wafer status file, and storing second information pertaining to said wafer on said traveling information file.

9. A system for controlling the quality and/or quantity of a final semiconductor product output from a module, wherein the final semiconductor output is a result of the coordinated effort of two or more semiconductor preparation tools making up the module, the system comprising;

a first tool of said two or more semiconductor tools, said first tool capable of implementing a first process on a semiconductor product and producing a first output;
a second tool of said two or more semiconductor tools, said second tool receiving as input said first output from said first tool, and said second tool capable of implementing a second process on the semiconductor product and producing a second output,
wherein said first tool measures and obtains measurement data relating to the thickness and uniformity of a film, and wherein said measurement data is conveyed to said second tool for use in modifying a behavior of said second tool; and
a module control mechanism, said module control mechanism capable of facilitating the exchange of information between said first tool and said second tool so that the module yields a pre-set or user-specified final semiconductor product output, said final semiconductor product output being, or resulting from, said second output.

10. The system of claim 9 wherein the said first tool includes a metrology station and said second tool includes a chemical mechanical polishing apparatus.

11. The system of claim 10, wherein modifying the behavior of said second tool includes determining a plurality of pressures to apply to different regions of the semiconductor product as it is pressed against a polishing surface.

12. The system of claim 9, wherein said module control mechanism is a part of said first tool, or is distributed between said first and second tools.

13. A system for interactively monitoring and adjusting product output from a module, wherein the output is a result of the coordinated effort of two or more semiconductor tools making up the module, the system comprising:

a first tool of said two or more semiconductor tools, said first tool capable of implementing a first process on a semiconductor product and producing a first output;
a second tool of said two or more semiconductor tools, said second tool receiving as input said first output from said first tool, and said second tool capable of implementing a second process on the semiconductor product and producing a second output,
wherein one of said first or second tools measures and obtains measurement data relating to said semiconductor product, and wherein said measurement data is conveyed to the other of said first or second tools for use in modifying a behavior of said other of said first or second tool; and
a module communication mechanism, said module communication mechanism capable of facilitating the communication of information between said first tool and said second tool so that the module yields a desired semiconductor product output,
said semiconductor product output being, or resulting from, said second output.

14. The system of claim 13, wherein said first tool includes a deposition function, and said second tool includes a CMP function.

15. The system of claim 13, wherein said first tool includes a deposition function, and said second tool includes an etch function.

16. The system of claim 13, wherein said first tool includes a CMP function, and said second tool includes an etch function.

17. The system of claim 13, wherein said first tool includes an electroplating function, and said second tool includes a CMP function.

18. The system of claim 13, wherein said first tool includes a sputtering function, and said second tool includes an electroplating function.

19. The system of claim 13, wherein said first tool includes an etch function, and said second tool includes an inspection function for inspecting the results of said etch function.

20. The system of claim 13, wherein said measurement data relates to the thickness and/or uniformity of a film.

21. The system of claim 13, further comprising a module controller, wherein at least some information communicated by said module communication mechanism are controlled by said module controller.

22. The system of claim 21, wherein said module communication mechanism resides, at least in part, in either said first or second tool, or is distributed between said first and second tools.

23. The system of claim 13, wherein said module communication mechanism resides, at least in part, in either said first or second tool, or is distributed between said first and second tools.

24. A system for interactively monitoring and adjusting product output from a multi-function tool, wherein the output is a result of the coordinated effort of two or more functional units making up the multi-function tool, the system comprising:

a first functional unit of said two or more semiconductor functional units, said first functional unit capable of implementing a first process on a semiconductor product and producing a first output;
a second functional unit of said two or more semiconductor functional units, said second functional unit receiving as input said first output from said first functional unit, and said second functional unit capable of implementing a second process distinct from said first process on the semiconductor product and producing a second output,
wherein one of said first or second functional units measures and obtains measurement data relating to said semiconductor product, and wherein said measurement data is conveyed to the other of said first or second functional units for use in modifying a behavior of said other of said first or second functional unit; and
a module communication mechanism, said module communication mechanism capable of facilitating the exchange of information between said first functional unit and said second functional unit so that the multi-function tool yields a desired semiconductor product output,
said semiconductor product output being, or resulting from, said second output.

25. The system of claim 24, wherein said measurement data relates to the thickness and/or uniformity of a film.

26. The system of claim 24, further comprising a third functional unit, wherein the routing of a semiconductor product through said first, second and third functional units occurs in a predetermined, fixed sequence.

27. The system of claim 24, wherein said first functional unit includes a deposition function, and said second functional unit includes a CMP function.

28. The system of claim 24, wherein said first functional unit includes a deposition function, and said second functional unit includes an etch function.

29. The system of claim 24, wherein said first functional unit or said second functional unit includes a CMP function.

30. The system of claim 24, wherein said first functional unit includes an electroplating function, and said second functional unit includes a CMP function.

31. The system of claim 24, wherein said first functional unit or said second functional unit includes a deposition function.

32. The system of claim 24, wherein said first functional unit includes an etch function, and said second functional unit includes an inspection function for inspecting the results of said etch function.

33. The system of claim 24, further comprising a module controller, wherein at least some information communicated by said module communication mechanism are controlled by said module controller.

34. The system of claim 33, wherein said module communication mechanism resides, at least in part, in either said first or second functional unit, or is distributed between said first and second functional units.

35. The system of claim 24, wherein said module communication mechanism resides, at least in part, in either said first or second functional unit, or is distributed between said first and second functional units.

36. A method for associating information with a wafer in a semiconductor processing facility, comprising the steps of:

(1) processing a wafer at a first wafer processing tool, and storing first information pertaining to said wafer on a wafer information entity,
wherein said wafer information entity comprises information pertaining to the status of said wafer;
(2) transferring said wafer to a second wafer processing tool;
(3) transferring said wafer information entity with said wafer to said second wafer processing tool;
(4) receiving said wafer information entity by said second wafer processing tool;
(5) processing said wafer at said second processing tool using said first information in said wafer information entity, and storing second information pertaining to said wafer on said wafer information entity.

37. A method for associating information with a wafer in a semiconductor processing facility, comprising the steps of:

(1) processing a first wafer at a first wafer processing tool, and storing first information pertaining to said first wafer on a wafer information entity,
wherein said wafer information entity comprises information pertaining to the status of said first wafer;
(2) transferring said first wafer to a second wafer processing tool;
(3) transferring said wafer information entity with said first wafer to said second wafer processing tool;
(4) receiving said wafer information entity by said second wafer processing tool;
(5) processing said first wafer at said second processing tool, and storing second information pertaining to said first wafer on said wafer information entity;
(6) transferring at least some of said second information to said first wafer processing tool; and
(7) processing a second wafer at said first wafer processing tool using said at least some of said second information of said step (6).

38. The method of claim 37, wherein wafer information entity contains a recipe or a modification of said recipe, and wherein said first wafer processing tool comprises the step of using said recipe or said modification of said recipe in said wafer information entity to process said wafer.

39. A system for interactively monitoring and adjusting product output from a module, wherein the output is a result of the coordinated effort of two or more semiconductor tools making up the module, the system comprising;

an electroplating tool, said electroplating tool capable of implementing a copper depositing process on a semiconductor product and producing a first output;
a CMP tool, said CMP tool receiving as input said first output from said electroplating tool, and said CMP tool capable of implementing an excess material removal process on the semiconductor product and producing a second output,
a module communication mechanism, said module communication mechanism capable of facilitating the exchange of information between said electroplating tool and said CMP tool so that the module yields a desired semiconductor product output,
said semiconductor product output being, or resulting from, said second output.

40. The system of claim 39, further comprising a module controller, wherein at least some information communicated by said module communication mechanism are controlled by said module controller.

41. The system of claim 40, wherein said module communication mechanism resides, at least in part, in either said first or second tool, or is distributed between said first and second tools.

42. The system of claim 39, wherein said module communication mechanism resides, at least in part, in either of said first or second tool, or is distributed between said first and second tools.

43. A method for interactively monitoring and adjusting product output from a module, wherein the output is a result of the coordinated effort of two or more semiconductor tools making up the module, the method comprising the steps of:

(1) implementing a first process on a semiconductor product, using a first tool of said two or more semiconductor tools, to produce a first output;
(2) implementing a second process on the semiconductor product, using a second tool of said two or more semiconductor tools, to produce a second output, said second tool receiving as input said first output from said first tool,
(3) measuring and obtaining measurement data relating to said semiconductor product, by one of said first or second tools, and conveying said measurement data to the other of said first or second tools for use in modifying a behavior of said other of said first or second tool; and
(4) facilitating the communication of information between said first tool and said second tool so that the module yields a desired semiconductor product output,
said semiconductor product output being, or resulting from, said second output.

44. The method of claim 43, wherein said first tool includes a deposition function, and said second tool includes a CMP function.

45. The method of claim 43, wherein said first tool includes a deposition function, and said second tool includes an etch function.

46. The method of claim 43, wherein said first tool includes a CMP function, and said second tool includes an etch function.

47. The method of claim 43, wherein said first tool includes an electroplating function, and said second tool includes a CMP function.

48. The method of claim 43, wherein said first tool includes a sputtering function, and said second tool includes an electroplating function.

49. The method of claim 43, wherein said first tool includes an etch function, and said second tool includes an inspection function for inspecting the results of said etch function.

50. The method of claim 43, wherein said measurement data relates to the thickness and/or uniformity of a film.

51. The method of claim 43, wherein at least some aspects of said step (4) are controlled by a module controller.

52. The method of claim 51, wherein at least some aspects of said step (4) are controlled by either said first or second tools, or by a combination of said first and second tools.

53. The method of claim 43, wherein at least some aspects of said step (4) are controlled by either said first or second tools, or by a combination of said first and second tools.

54. A method for interactively monitoring and adjusting product output from a multi-function tool, wherein the output is a result of the coordinated effort of two or more functional units making up the multi-function tool, the method comprising the steps of:

(1) implementing a first process on a semiconductor product, using a first functional unit of said two or more functional units, to produce a first output;
(2) implementing a second process on the semiconductor product, using a second functional unit of said two or more functional units, to produce a second output, said second functional unit receiving as input said first output from said first functional unit,
(3) measuring and obtaining measurement data relating to said semiconductor product, by one of said first or second functional units, and conveying said measurement data to the other of said first or second functional units for use in modifying a behavior of said other of said first or second functional unit; and
(4) facilitating the communication of information between said first functional unit and said second functional unit so that the multi-function tool yields a desired semiconductor product output,
said semiconductor product output being, or resulting from, said second output.

55. The method of claim 54, further comprising the step of implementing a third process on the semiconductor product using a third functional unit, wherein the routing of the semiconductor product through said first, second and third functional units occurs in a predetermined, fixed sequence.

56. The system of claim 24, wherein said first functional unit or said second functional unit includes a sputtering function.

57. The system of claim 33, wherein said control is facilitated by the use of algorithmic instructions.

58. The system of claim 40, wherein said control is facilitated by the use of algorithmic instructions.

59. A system for controlling the quality and/or quantity of a final semiconductor product output from a multi-function tool, wherein the final semiconductor output is a result of the coordinated effort of two or more functional units making up the multi function tool, the system comprising;

a first functional unit of said two or more functional units, said first functional unit capable of implementing a first process on a semiconductor product and producing a first output,
wherein said first functional unit measures and obtains measurement data relating to the thickness and/ or uniformity of a film;
a second functional unit of said two or more semiconductor functional units, said second tool receiving as input said first output from said first functional unit, and said second functional unit capable of implementing a second process on the semiconductor product and producing a second output,
wherein said measurement data from the first functional unit is conveyed to said second functional unit for use in modifying a behavior of said second functional unit; and
a module control mechanism, said module control mechanism capable of facilitating the exchange of information between said first functional unit and said second functional unit so that the multi-function tool yields a pre-set or user-specified final semiconductor product output,
said semiconductor product output being, or resulting from, said second output,
wherein said two or more semiconductor functional units use a unifying protocol, to thereby alleviate a need to use station controllers for said two or more functional units.
Patent History
Publication number: 20040083021
Type: Application
Filed: Oct 17, 2003
Publication Date: Apr 29, 2004
Applicant: Applied Materials, Inc.
Inventors: Sasson Somekh (Los Altos Hills, CA), Howard E. Grunes (Santa Cruz, CA)
Application Number: 10686589
Classifications
Current U.S. Class: Integrated Circuit Production Or Semiconductor Fabrication (700/121)
International Classification: G06F019/00;