Integrated Circuit Production Or Semiconductor Fabrication Patents (Class 700/121)
  • Patent number: 11923976
    Abstract: The invention relates to a method for transmitting analysis-relevant data from a transmitter (2), in which the analysis-relevant data is present, to a receiver (4), in which data analyses can be performed. In order to improve the method for transmitting analysis-relevant data from the transmitter (2) to the receiver (4), according to the invention one selection rule (30) to be applied to the analysis-relevant data is selected for a data transmission from a plurality of predefinable selection rules (26) for the selection of data to be transmitted from the analysis-relevant data, wherein the selection of the selection rule (30) to be applied for this data transmission is dependent on a data analysis applied to the data to be transmitted and on a state of the data link (6) between the transmitter (2) and the receiver (4).
    Type: Grant
    Filed: May 23, 2018
    Date of Patent: March 5, 2024
    Assignee: SIEMENS AKTIENGESELLSCHAFT
    Inventors: Michael Wieczorek, Elisabeth Heindl
  • Patent number: 11920980
    Abstract: In some implementations, a device may receive spectroscopic data associated with a dynamic process. The device may generate a principal component analysis (PCA) model based on a first block of spectra from the spectroscopic data. The device may project a second block of spectra from the spectroscopic data to the PCA model generated based on the first block of spectra. The device may determine a value of a metric associated with the second block based on projecting the second block of spectra to the PCA model. The device may determine whether the dynamic process has reached an end point based on the value of the metric associated with the second block.
    Type: Grant
    Filed: June 2, 2022
    Date of Patent: March 5, 2024
    Assignee: VIAVI Solutions Inc.
    Inventors: Lan Sun, Chang Meng Hsiung, Edward Gooding
  • Patent number: 11912504
    Abstract: A picking station (19) as well as a storage and order-picking system (1) for automatic picking and automatic packaging of articles (10a . . . 10p) is specified. An automated packaging machine (25) and a goods-out conveyor system (20) as well as different types of picking zones (24a . . . 24f), in which the articles (10a . . . 10p) for an order are picked and prepared for the packaging process, are included thereby. In particular, the articles (10a . . . 10p) are stacked on top of each other to form an article stack (41) and are subsequently discharged onto an automated feed conveyor system (26) leading to the automated packaging machine (25) or directly into the automated packaging machine (25). Moreover, a method for operating the picking station (19) described and/or the storage and order-picking system (1) described is specified.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: February 27, 2024
    Assignee: TGW Logistics Group GmbH
    Inventors: Timothy Lindley, Gerald Kettlgruber, Harald Johannes Schroepf
  • Patent number: 11914504
    Abstract: Physical experiments can be performed based on automatically-generated testing scripts according to some examples described herein. For example, a system can generate a sample set based on demand data collected from a group of manufacturing locations. The system can also generate a graphical user interface that includes graphical options through which a user can select settings for a testing script to be used in a physical test environment. The system can receive the settings from the user through the graphical user interface. The system can then generate the testing script based on the sample set and the settings, and provide the testing script for use in executing a physical experiment in the physical test environment.
    Type: Grant
    Filed: June 27, 2023
    Date of Patent: February 27, 2024
    Assignee: Starbucks Corporation
    Inventors: Rachel J. Espiritusanto, Constance J. Wang, Andrew M. Greenleaf, Christopher M. Miller, Marshall G. Frerichs
  • Patent number: 11907235
    Abstract: A model learning unit learns a prediction model on the basis of learning data, a target setting unit sets a target output parameter value by interpolating between a goal output parameter value and an output parameter value which is the closest to the goal output parameter value in output parameter values in the learning data, a processing condition search unit estimates input parameter values which corresponds to the goal output parameter value and the target output parameter value, a model learning unit updates the prediction model by using a set of the estimated input parameter value and an output parameter value which is a result of processing that a processing device performs as additional learning data.
    Type: Grant
    Filed: April 27, 2021
    Date of Patent: February 20, 2024
    Assignee: Hitachi High-Tech Corporation
    Inventors: Yutaka Okuyama, Takeshi Ohmori, Masaru Kurihara, Hyakka Nakada
  • Patent number: 11894271
    Abstract: A method of processing a wafer includes a wafer preparing step of preparing a measurement wafer and a product wafer, a measurement etching step of supplying a gas in a plasma state to first areas of the measurement wafer that correspond to streets thereon to form grooves in the measurement wafer, a measuring step of demarcating a plurality of concentric areas in an array from a center to an outer circumference of the measurement wafer, and measuring depths of the grooves in the respective concentric areas, a thickness adjusting step of adjusting a thickness of the product wafer such that the product wafer is progressively thinner in areas thereof that correspond to the areas of the measurement wafer where the grooves are shallower, and an etching step of supplying a gas in a plasma state to second areas of the product wafer that correspond to streets thereon.
    Type: Grant
    Filed: July 20, 2021
    Date of Patent: February 6, 2024
    Assignee: DISCO CORPORATION
    Inventors: Karl Heinz Priewasser, Hideyuki Sandoh
  • Patent number: 11892821
    Abstract: An electronic device manufacturing system that includes a process tool and a tool server coupled to the process tool and comprising a communication node and an evaluation system. The communication node is configured to obtain one or more attributes from an evaluation system and provide a monitoring device comprising a data collection plan that is based on the one or more attributes. The communication node is further configured to register the monitoring device with a process tool. The communication node is further configured to receive, from the process tool, data based on the data collection plan and send the received data to the evaluation system.
    Type: Grant
    Filed: March 15, 2022
    Date of Patent: February 6, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Michael Howells, Thorsten Kril, Hemanth Konanur Nagendra, Jatinder Sasan
  • Patent number: 11887885
    Abstract: A semiconductor-on-insulator (e.g., silicon-on-insulator) structure having superior radio frequency device performance, and a method of preparing such a structure, is provided by utilizing a single crystal silicon handle wafer sliced from a float zone grown single crystal silicon ingot.
    Type: Grant
    Filed: October 19, 2022
    Date of Patent: January 30, 2024
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Michael R. Seacrist, Robert W. Standley, Jeffrey L. Libbert, Hariprasad Sreedharamurthy, Leif Jensen
  • Patent number: 11884012
    Abstract: A three-dimensional shaping device includes a supply flow channel through which a shaping material flows, an ejection amount control mechanism that controls a flow amount of the shaping material to be ejected from a nozzle, a branch flow channel branched from a first partial flow channel as a flow channel between the melting section and the ejection amount control mechanism out of the supply flow channel, a transfer mechanism that transfers the shaping material in the second partial flow channel to the first partial flow channel via the branch flow channel, and a control section that controls the transfer mechanism to transfer the shaping material in the second partial flow channel to the first partial flow channel in a period from stopping the ejection of the shaping material from the nozzle to resuming the ejection.
    Type: Grant
    Filed: March 27, 2020
    Date of Patent: January 30, 2024
    Assignee: SEIKO EPSON CORPORATION
    Inventors: Kohei Yuwaki, Koichi Saito
  • Patent number: 11880640
    Abstract: A method involving obtaining a resist deformation model for simulating a deformation process of a pattern in resist, the resist deformation model being a fluid dynamics model configured to simulate an intrafluid force acting on the resist, performing, using the resist deformation model, a computer simulation of the deformation process to obtain a deformation of the developed resist pattern for an input pattern to the resist deformation model, and producing electronic data representing the deformation of the developed resist pattern for the input pattern.
    Type: Grant
    Filed: March 7, 2023
    Date of Patent: January 23, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Chrysostomos Batistakis, Scott Anderson Middlebrooks, Sander Frederik Wuister
  • Patent number: 11880642
    Abstract: Implementations disclosed herein may include receiving from a user a selection of at least one die, a package type, and at least one test condition; generating, using a processor, a product die configuration and a product package configuration using a predictive modeling module and the at least one die and the package type; generating a graphic design system file; generating a package bonding diagram; generating a product spice model of the discrete device product using a technology computer aided design module; generating, using a processor, one or more datasheet characteristics of the discrete device product with the product SPICE model; generating a product datasheet for the discrete device product using the graphic design system file; and using a second interface generated by a computing device to provide access to the graphic design system file, the package bonding diagram, the product datasheet, and the product SPICE model.
    Type: Grant
    Filed: September 7, 2022
    Date of Patent: January 23, 2024
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: James Joseph Victory, Thomas Neyer, YunPeng Xiao, Hyeongwoo Jang, Peter Dingenen, Vaclav Valenta, Mehrdad Baghaie Yazdi, Christopher Lawrence Rexer, Stanley Benczkowski, Thierry Bordignon, Wai Lun Chu, Roman Sickaruk
  • Patent number: 11868700
    Abstract: Embodiments described herein relate to a system, software, and a method of using the system to edit a design to be printed by a lithography system. The system and methods utilize a server of a maskless lithography device. The server includes a memory. The memory includes a virtual mask file. The virtual mask file includes cells and the cells include sub-cells that form one or more polygons. The server further includes a controller coupled to the memory. The controller is configured to receive a replacement table. The replacement table includes instructions to replace the cells of the virtual mask file. The controller is further configured to replace the cells with replacement cells according to the replacement table to create an edited virtual mask file.
    Type: Grant
    Filed: December 1, 2021
    Date of Patent: January 9, 2024
    Assignee: Applied Materials Inc.
    Inventors: Aravind Inumpudi, Thomas L. Laidig
  • Patent number: 11868119
    Abstract: Sensitivity calculations are provided of a process model through the rate of change of a model fingerprint with respect to process variables and defects. A fingerprint sensitivity table is generated, where process variables are associated with a set of fingerprint sensitivities. The fingerprint of incoming substrates is monitored through a production process by applying the same fingerprint method that is used in the process model. Calculations are made of the difference between the incoming substrate fingerprint and the process model predicted fingerprint. This difference fingerprint is compared against the table of fingerprint sensitivities to find the process variable most likely to be responsible for the difference. Spatial relationships between process variables and actual measurements on the substrate may be obtained. Correlation through fingerprint sensitivity improves the ability to pinpoint faulty process tools. The difference fingerprint may also identify the formation of defects on a substrate.
    Type: Grant
    Filed: September 24, 2021
    Date of Patent: January 9, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Nathan Ip, Megan Wooley
  • Patent number: 11869785
    Abstract: Described herein is a technique capable of detecting a substrate state without contacting the substrate. According to one aspect of the technique, there is provided (a) loading a substrate retainer, where a plurality of substrates is placed, into a reaction tube; (b) processing the plurality of the substrates by supplying a gas into the reaction tube; (c) unloading the substrate retainer out of the reaction tube after the plurality of the substrates is processed; and (d) detecting the plurality of the substrates placed on the substrate retainer after the substrate retainer is rotated by a first angle with respect to a transferable position, wherein the plurality of the substrates is transferable to/from the substrate retainer in the transferable position.
    Type: Grant
    Filed: January 14, 2022
    Date of Patent: January 9, 2024
    Assignee: Kokusai Electric Corporation
    Inventors: Tomoyuki Miyada, Hajime Abiko, Junichi Kawasaki, Tadashi Okazaki
  • Patent number: 11862493
    Abstract: A method includes determining, based on sensor data, that one or more components of substrate processing equipment are within a pre-failure window that is after a normal operation window. Corresponding data points in the normal operation window are substantially stable along a first health index value. The corresponding data points in the pre-failure window increase from the first health index value to a peak at a second health index value. Responsive to the determining that the one or more components are within the pre-failure window, the method further includes causing performance of a corrective action associated with the one or more components of the substrate processing equipment.
    Type: Grant
    Filed: May 27, 2022
    Date of Patent: January 2, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Tianqing Liao, Sima Didari, Harikrishnan Rajagopal
  • Patent number: 11854850
    Abstract: Described herein is a technique capable of improving the controllability of a thickness of a film formed on a large surface area substrate having a surface area greater than a surface area of a bare substrate and improving the thickness uniformity between films formed on a plurality of large surface area substrates accommodated in a substrate loading region by reducing the influence of the surface area of the large surface area substrate and the number of the large surface area substrates due to a loading effect even when the plurality of large surface area substrates are batch-processed using a batch type processing furnace.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: December 26, 2023
    Assignee: Kokusai Electric Corporation
    Inventors: Yukinao Kaga, Ryosuke Yoshida
  • Patent number: 11853053
    Abstract: The invention provides a dynamic risk analyzer (DRA) that periodically assesses real-time or historic process data, or both, associated with an operations site, such as a manufacturing, production, or processing facility, including a plant's operations, and identifies hidden near-misses of such operation, when in real time the process data appears otherwise normal. DRA assesses the process data in a manner that enables operating personnel including management at a facility to have a comprehensive understanding of the risk status and changes in both alarm and non-alarm based process variables. The hidden process near-miss data may be analyzed alone or in combination with other process data and/or data resulting from prior near-miss situations to permit strategic action to be taken to reduce or avert the occurrence of adverse incidents or catastrophic failure of a facility operation.
    Type: Grant
    Filed: January 24, 2022
    Date of Patent: December 26, 2023
    Assignee: Near-Miss Management LLC
    Inventors: Ankur Pariyani, Matthew Dering, Ulku G. Oktem, Brett Emaus, Daniel Shumway, Steven DeLaurentis
  • Patent number: 11856706
    Abstract: The present disclosure is directed to a system and method to identify and track parts of a semiconductor processing chamber, as well as the status of the parts, and store status information in a centralized location as status changes over time.
    Type: Grant
    Filed: December 3, 2019
    Date of Patent: December 26, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Hsui Yang, Yao-Hung Yang, Jeevan Shanbhag, Chien-Min Liao, Earl Hunter, David Ganon, Mariana Luigi, Siamak Salimian, Tom K. Cho, Chun-Chung Chen
  • Patent number: 11852777
    Abstract: A device and method for projecting a light pattern is provided. The device includes a processor system and a housing. The housing is rotatable about a first axis. A measurement device is operably coupled to the housing that measures a distance to a surface in an environment. A light projector is operably coupled to the housing, the light projector having a light source and a pair of movable mirrors, the light source positioned to emit light onto the pair of movable mirrors. Wherein the processor system is responsive to computer instructions for: determining 3D coordinates of points on the surface with the 3D measurement device; selecting a pattern; adjusting the pattern based at least in part on the 3D coordinates; and causing the light projector to emit a beam of light and moving the pair of mirrors to generate the adjusted pattern on the surface.
    Type: Grant
    Filed: August 10, 2022
    Date of Patent: December 26, 2023
    Assignee: FARO Technologies, Inc.
    Inventors: Jens Trollmann, Stefan Mueller
  • Patent number: 11846979
    Abstract: Anomalies in a target object can be detected and diagnosed using improved Mahalanobis-Taguchi system (MTS) techniques. For example, an anomaly detection and diagnosis (ADD) system can receive a set of measurements associated with attributes of a target object. A Mahalanobis distance (MD) can be determined using a generalized inverse matrix. An abnormal condition can be detected when the MD is greater than a predetermined threshold value. The ADD system can determine an importance score for each measurement of a corresponding attribute. The attribute whose measurement has the highest importance score can be determined to be responsible for the abnormal condition.
    Type: Grant
    Filed: May 17, 2023
    Date of Patent: December 19, 2023
    Assignee: SAS INSTITUTE, INC.
    Inventors: Kevin L. Scott, Deovrat Vijay Kakde, Arin Chaudhuri, Sergiy Peredriy
  • Patent number: 11842910
    Abstract: Methods and systems for detecting outliers at a manufacturing system using machine learning are provided. Data collected by a sensors at a manufacturing system during a current process performed for a first set of substrates is provided as input to a trained machine learning model. One or more outputs are obtained from the trained machine learning model. A first amount of drift of a first set of parameter values for the first set of substrates from a target set of parameter values for the first set of substrates is extracted from the one or more outputs. A second amount of drift of each of the first set of parameter values for the first set of substrates from a corresponding parameter value of a second set of parameter values for a second set of substrates processed according to the current process at the manufacturing system prior to the performance of the current process for the first set of substrates is also extracted from the one or more outputs.
    Type: Grant
    Filed: February 4, 2021
    Date of Patent: December 12, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bharath Ram Sundar, Raman K Nurani, Ramkishore Sankarasubramanian, Ramachandran Subramanian, Bharath Muralidharan, Ramaswamy Melatoor Narayanan, Ganapathi Raman Sankaranarayanan
  • Patent number: 11836907
    Abstract: A product defect detection method, device and system are disclosed.
    Type: Grant
    Filed: September 10, 2020
    Date of Patent: December 5, 2023
    Assignee: GOERTEK, INC.
    Inventor: Jie Liu
  • Patent number: 11829538
    Abstract: The present disclosure provides a display device and a manufacturing method thereof. In an embodiment, the display device includes a display panel, a touchpad and a joint. The touchpad is located on a display side of the display panel and includes a main body area and a bonding area. The joint is arranged on a side of the display panel facing the touchpad and is configured to electrically connect the touchpad and a driving chip. A side of the bonding area facing the display panel is configured to be joined with the joint.
    Type: Grant
    Filed: July 23, 2020
    Date of Patent: November 28, 2023
    Assignees: Chengdu BOE Optoelectronics Technology Co., Ltd., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Xiaoxia Liu, Fei Li, Fuzheng Xie, Junhui Yang, Jiaxiang Zhang, Kang Wang, Haotian Yang
  • Patent number: 11829451
    Abstract: A data processing method includes a step of obtaining scores of time-series data by comparing the time-series data with reference data in order to process time-series data acquired in a substrate processing apparatus having one or more processing units, a step of classifying the scores into a plurality of levels, and a step of displaying an evaluation result screen including a display area including a graph showing an occurrence rate of each level of the scores, the number of occurrences of each level, and a graph showing temporal change in the number of occurrences of a worst level of the scores when substrates have been processed through a predetermined method with respect to each of the two or more processing units. Accordingly, a data processing method through which a state of the substrate processing apparatus can be easily ascertained is provided.
    Type: Grant
    Filed: November 25, 2021
    Date of Patent: November 28, 2023
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Hideji Naohara, Tomonori Fujiwara, Yumiko Hirato, Atsushi Sonoda
  • Patent number: 11829370
    Abstract: Data structures and applications are defined with the point-and-click visual tools or speech recognition and recorded as metadata descriptions, which are converted at runtime to generate underlying software code (e.g., C #, SQL, JavaScript, etc.) to implement the application. The data structures include application data elements described in a data serialization format, such as JSON, are stored in a NoSQL database. Each application data element includes fields that each represent an individual value. Each field is defined with a label, a data type, and attributes. The data structures also describe relationships or links between application data elements, as well as data-driven or time-based rules that drive further data manipulation and interactions within and external to the programming development environment through a variety of interfaces (e.g., HTTP, SMTP, SMS).
    Type: Grant
    Filed: January 20, 2022
    Date of Patent: November 28, 2023
    Inventor: Christopher James Aversano
  • Patent number: 11829893
    Abstract: The present invention provides an analysis method for a semiconductor device for analyzing a plurality of electrical parameters of a HKMG fin field effect transistor and a plurality of process parameters for manufacturing the transistor, comprising: performing key process parameter correlation analysis for each electrical parameter, wherein the key process parameter correlation analysis comprises: constructing multiple electrical-process models of the electrical parameter corresponding to each process parameter respectively; performing sensitivity analysis for each of the electrical-process models; determining a plurality of key process parameters from the plurality of process parameters based on the obtained sensitivity analysis results of the electrical-process models; and determining a relationship between the electrical parameter and the plurality of key process parameters based on a knowledge database.
    Type: Grant
    Filed: March 25, 2020
    Date of Patent: November 28, 2023
    Assignee: Shanghai Huali Integrated Circuit Mfg. Co., Ltd.
    Inventor: Ping-Hsun Su
  • Patent number: 11806766
    Abstract: The invention relates to a treatment system for treating workpieces. Devices and methods of the disclosure are configured to perform at least one treatment operation on the workpiece, as well as at least one receiving unit for accommodating the workpiece on or in the treatment device. A transport device is provided that comprises at least one transport unit, with which the workpiece is transferable into a transfer position, from which the workpiece is transferable by means of the at least one receiving unit into a treatment position, as well as a control device for controlling the at least one treatment unit, the at least one receiving unit, and the at least one transport unit.
    Type: Grant
    Filed: November 5, 2021
    Date of Patent: November 7, 2023
    Assignee: ECOCLEAN GMBH
    Inventors: Otfried Meyer, Marko Flatten
  • Patent number: 11796987
    Abstract: Production past record information showing the execution time point of each step is accumulated for each product loaded in a production system. A supporting system calculates, for each step, a retention increase rate at each time point on the basis of the production past record information. The “retention increase rate” is an amount of increase in retention number per unit time. The supporting system displays a holistic chart for a production situation, which has a time axis and a step axis (axis perpendicular to the time axis and corresponding to steps). A display mode of each position in the holistic chart depends on whether a retention increase rate for the time point and step corresponding to the position is a negative value, zero, or a positive value, and a difference between the retention increase rate and a rate reference value (a reference value for the retention increase rate).
    Type: Grant
    Filed: September 17, 2020
    Date of Patent: October 24, 2023
    Assignee: HITACHI, LTD.
    Inventors: Hisashi Uehara, Kenichirou Kawakami, Yusuke Yajima, Hiroyuki Maeda
  • Patent number: 11796909
    Abstract: A method of manufacturing a reticle includes: disposing the reticle in a reticle pod, the reticle pod forming a sealed space to accommodate the reticle, and the reticle pod comprising a window arranged on an upper surface of the reticle pod and configured to allow a radiation at a predetermined wavelength to pass through; and performing an inspection operation on the reticle through the window.
    Type: Grant
    Filed: April 25, 2022
    Date of Patent: October 24, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Wang Cheng Shih, Hao-Ming Chang, Chung-Yang Huang, Cheng-Ming Lin
  • Patent number: 11796978
    Abstract: A user interface for designing, configuring and/or editing a control flow representing a control strategy associated with a semiconductor manufacturing process, the user interface including: a library of control elements having at least a control element representing a task of simulation and each control element being selectable by a user; a control flow editor configured to organize the control elements into a control flow representing the control strategy; and a communication interface for communicating the control flow to a calculation engine configured to evaluate the control flow.
    Type: Grant
    Filed: October 21, 2019
    Date of Patent: October 24, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Chang-Wei Chen, Si-Han Zeng
  • Patent number: 11791184
    Abstract: The program code, when executed by a processor, causes the processor to input fabrication data including a plurality of parameters associated with a semiconductor fabricating process to a framework to generate a first class for analyzing the fabrication data, to extract a first parameter targeted for analysis and a second parameter associated with the first parameter from the plurality of parameters and generate a second class for analyzing the first parameter as a sub class of the first class, to modify the first parameter and the second parameter into a data structure having a format appropriate to store in the second class, so as to be stored in the second class, to perform data analysis on the first parameter and the second parameter, to transform the first parameter and the second parameter into corresponding tensor data, and to input the tensor data to the machine learning model.
    Type: Grant
    Filed: April 13, 2022
    Date of Patent: October 17, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jiho Kim, Minhyeok Kwon, Shigenobu Maeda, Jooyeok Seo, Minuk Lee
  • Patent number: 11780028
    Abstract: A method for separating a workpiece along a separation line by using ultrashort laser pulses of a laser beam includes splitting the laser beam, using a beam splitter optical unit, into a plurality of partial laser beams. Each partial laser beam is focused by a focusing optical unit onto a surface and/or into a volume of the workpiece so that the partial laser beams are arranged next to one another and spaced apart from one another along the separation line. The method further includes implementing material ablation in the workpiece along the separation line by introducing the ultrashort laser pulses into the workpiece. The partial laser beams are repeatedly moved away from an initial position along the separation line by a deflection value and are subsequently moved back into the initial position. The deflection value is less than or equal to a distance between two adjacent partial laser beams.
    Type: Grant
    Filed: March 10, 2023
    Date of Patent: October 10, 2023
    Assignee: TRUMPF LASER—UND SYSTEMTECHNIK GMBH
    Inventors: Jonas Kleiner, Daniel Flamm, Henning Rave
  • Patent number: 11783466
    Abstract: Metrology methods, modules and systems are provided, for using machine learning algorithms to improve the metrology accuracy and the overall process throughput. Methods comprise calculating training data concerning metrology metric(s) from initial metrology measurements, applying machine learning algorithm(s) to the calculated training data to derive an estimation model of the metrology metric(s), deriving measurement data from images of sites on received wafers, and using the estimation model to provide estimations of the metrology metric(s) with respect to the measurement data. While the training data may use two images per site, in operation a single image per site may suffice—reducing the measurement time to less than half the current measurement time. Moreover, confidence score(s) may be derived as an additional metrology and process control, and deep learning may be used to enhance the accuracy and/or speed of the metrology module.
    Type: Grant
    Filed: June 21, 2022
    Date of Patent: October 10, 2023
    Assignee: KLA CORPORATION
    Inventors: Boaz Ophir, Yehuda Odes, Udi Shusterman
  • Patent number: 11782428
    Abstract: A transport system is provided. The transport system includes a stocker configured to store an assigned wafer carrier and having a gate port. The transport system also includes a semiconductor apparatus configured to transmit a request signal including a processed time according to a processing wafer carrier loaded on the semiconductor apparatus. The transport system further includes a vehicle configured to transport the assigned wafer carrier from the gate port to the semiconductor apparatus and a control system configured to control the vehicle. When the control system receives the request signal, the control system controls the stocker to transport the assigned wafer carrier inside of the stocker to the gate port at a start time, which is earlier than the processed time, and the control system controls the vehicle to transport the assigned wafer carrier from the gate port to the semiconductor apparatus.
    Type: Grant
    Filed: July 26, 2021
    Date of Patent: October 10, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wei-Pin Huang, Wen-Chi Chien, Yuh-Dean Tsai, Bing-Yuan Cheng
  • Patent number: 11770921
    Abstract: The present disclosure provides an apparatus for determining mounting information. The apparatus according to the present disclosure may be configured to acquire solder measurement information indicating a state of a solder printed on a first substrate, determine whether or not the state of the solder is changed from states of solders printed on second substrates, which are measured prior to measurement of the first substrate, based on the solder measurement information, upon the determination that the state of the solder is not changed, determine mounting information indicating a mounting condition for mounting a first component on the first substrate using one or more models, and deliver the mounting information to a mounter. The one or more models may be configured to output the mounting information based on a correlation between states of a second component before and after a reflow process for each of the second substrates.
    Type: Grant
    Filed: April 20, 2021
    Date of Patent: September 26, 2023
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventors: Duk Young Lee, Jae Hwan Lee, Jin Hyung Tak, Chan Woo Park, Guk Han
  • Patent number: 11756819
    Abstract: Embodiments of the present disclosure generally relate to apparatus and methods for reducing substrate backside damage during semiconductor device processing. In one implementation, a method of chucking a substrate in a substrate process chamber includes exposing the substrate to a plasma preheat treatment prior to applying a chucking voltage to a substrate support. In one implementation, a substrate support is provided and includes a body having an electrode and thermal control device disposed therein. A plurality of substrate supporting features are formed on an upper surface of the body, each of the substrate supporting features having a substrate supporting surface and a rounded edge.
    Type: Grant
    Filed: April 22, 2020
    Date of Patent: September 12, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Liangfa Hu, Abdul Aziz Khaja, Sarah Michelle Bobek, Prashant Kumar Kulshreshtha, Yoichi Suzuki
  • Patent number: 11754388
    Abstract: A height measuring device includes a light source that emits light in a direction oblique to a top surface of a specimen, a slit that shapes the light from the light source to form a slit image on the specimen, an imaging element that detects reflected light reflected by the specimen, and an arithmetic unit. The arithmetic unit: identifies a slit image of the reflected light reflected by the top surface of the specimen from among a plurality of slit images based on respective positions of the plurality of slit images on a detection surface of the imaging element; and determines the height of the top surface of the specimen based on the position of the slit image of the reflected light reflected by the top surface of the specimen on the detection surface.
    Type: Grant
    Filed: January 4, 2021
    Date of Patent: September 12, 2023
    Assignee: JEOL Ltd.
    Inventor: Yukinori Aida
  • Patent number: 11756136
    Abstract: A farming machine is configured to identify and treat plants in a field. The farming machine includes one or more light sensors for measuring a characteristic of light. The one or more light sensors are coupled to the farming machine and are directed a substantially upwards orientation away from the plants. A control system adjusts settings of an image acquisition system based on a characteristic of light measured by the one or more light sensors. The image acquisition system captures an image of a plant using one or more image sensors coupled to the farming machine, the one or more image sensors directed in a substantially downwards orientation towards the plants. The control system identifies a plant in the image and actuates a treatment mechanism to treat the identified plant.
    Type: Grant
    Filed: February 17, 2023
    Date of Patent: September 12, 2023
    Assignee: BLUE RIVER TECHNOLOGY INC.
    Inventors: Matthew Stephen Colgan, Charles McCauley Ross
  • Patent number: 11754625
    Abstract: A system and method for identifying latent reliability defects (LRD) in semiconductor devices are configured to perform one or more stress tests with one or more stress test tools on at least some of a plurality of wafers received from one or more in-line sample analysis tools to determine a passing set of the plurality of wafers and a failing set of the plurality of wafers, perform a reliability hit-back analysis on at least some of the failing set of the plurality of wafers, analyze the reliability hit-back analysis to determine one or more geographic locations of one or more die fail chains caused by one or more latent reliability defects (LRD), and perform a geographic hit-back analysis on the one or more geographic locations of the one or more die fail chains caused by the LRD.
    Type: Grant
    Filed: January 18, 2021
    Date of Patent: September 12, 2023
    Assignee: KLA Corporation
    Inventors: David W. Price, Robert J. Rathert, Chet V. Lenox, Robert Cappel, Oreste Donzella, Kara L. Sherman
  • Patent number: 11745229
    Abstract: A method is provided for cleaning of a processing system comprising a wafer processing chamber and a pumping line in fluid connection with the wafer processing chamber. The method includes initiating cleaning of the wafer processing chamber by activating a chamber cleaning source and initiating cleaning of at least a portion of the pumping line by activating a foreline cleaning source coupled to the pumping line. The method also includes monitoring, at a downstream endpoint detector coupled to the pumping line, a level of a signature substance. The method further includes determining, by the downstream endpoint detector, at least one of a first endpoint of the cleaning of the wafer processing chamber or a second endpoint of the cleaning of the pumping line based on the monitoring.
    Type: Grant
    Filed: August 11, 2020
    Date of Patent: September 5, 2023
    Assignee: MKS Instruments, Inc.
    Inventor: Gordon Hill
  • Patent number: 11742189
    Abstract: Multi-zone reactors, systems including a multi-zone reactor, and methods of using the systems and reactors are disclosed. Exemplary multi-zone reactors include a movable susceptor assembly and a moveable plate. The movable susceptor assembly and movable plate can move vertically between reaction zones of a reactor to expose a substrate to multiple processes or reactants.
    Type: Grant
    Filed: January 18, 2019
    Date of Patent: August 29, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: Carl Louis White, Mohith Verghese, Eric James Shero, Todd Robert Dunn
  • Patent number: 11740619
    Abstract: Disclosed is a malfunction early-warning method for production logistics delivery equipment. After a sensor obtains past signal data, performing feature extraction and dimensionality reduction so as to obtain a feature vector; using a growing neural gas (GNG) algorithm to divide normal state data into different operation situations so as to obtain several cluster centers, and calculating the Euclidean distance between the feature vector and the cluster centers obtained from current operation data, so as to obtain a similarity trend; constructing a past memory matrix, using an improved particle swarm algorithm to optimize an LS-SVM regression model parameter, and calculating the residual value of the current state. Finally, combining the residual value and the similarity trend to obtain a risk coefficient, assessing the equipment state, and issuing an early warning for an equipment malfunction.
    Type: Grant
    Filed: October 21, 2019
    Date of Patent: August 29, 2023
    Assignee: NANJING UNIVERSITY OF AERONAUTICS AND ASTRONAUTICS
    Inventors: Xiaoming Qian, Peihuang Lou, Xinhao Wang
  • Patent number: 11736818
    Abstract: Embodiments disclosed herein include a diagnostic substrate, comprising a baseplate, and a first plurality of image sensors on the baseplate, where the first plurality of image sensors are oriented horizontal to the baseplate. In an embodiment, the diagnostic substrate further comprises a second plurality of image sensors on the baseplate, where the second plurality of image sensors are oriented at a non-orthogonal angle to the baseplate. In an embodiment, the diagnostic substrate further comprises a printed circuit board (PCB) on the baseplate, and a controller on the baseplate, where the controller is communicatively coupled to the first plurality of image sensors and the second plurality of image sensors by the PCB. In an embodiment, the diagnostic substrate further comprises a diffuser lid over the baseplate, the PCB, and the controller.
    Type: Grant
    Filed: February 9, 2022
    Date of Patent: August 22, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Upendra Ummethala, Philip Kraus, Keith Berding, Blake Erickson, Patrick Tae, Devendra Channappa Holeyannavar, Shivaraj Manjunath Nara, Anandakumar Parameshwarappa, Sivasankar Nagarajan, Dhirendra Kumar
  • Patent number: 11734622
    Abstract: A method, apparatus and device for determining production capacity boundaries are provided. In the method, related data for producing a run size of elements by a production device lot by lot is acquired and time intervals between production ending time points of adjacent lots are determined according to the related data; the determined time intervals are sorted to obtain a time interval sequence; distribution features of time intervals at two boundaries are parsed respectively to determine whether a data removing condition is satisfied; if Yes, an outlier is determined according to a mean value of the present time interval sequence, the time interval of the extraction step length where the outlier is located is removed, and whether the data removing condition is satisfied is determined; and if No, production capacity boundaries are determined according to minimum and maximum time intervals of the present time interval sequence and the run size.
    Type: Grant
    Filed: August 9, 2021
    Date of Patent: August 22, 2023
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Jianping Wang, Xiao Wang, Jinjin Cao
  • Patent number: 11726409
    Abstract: A substrate processing system includes: a measuring unit provided detachably with respect to a placement portion of a placement stage; a measuring jig for measuring a processing liquid; a liquid processing unit including a supplier which supplies the processing liquid to the measuring jig; a transfer mechanism for transferring the measuring jig between the measuring unit and the liquid processing unit; and a controller. The controller executes: a process of transferring the measuring jig in the measuring unit from the measuring unit to the liquid processing unit; a process of ejecting the processing liquid from the supplier to the measuring jig; a third process of transferring the measuring jig from the liquid processing unit to the measuring unit; and a fourth process of calculating an ejection amount of the processing liquid based on a measurement value in the measuring unit.
    Type: Grant
    Filed: November 9, 2021
    Date of Patent: August 15, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yuichiro Kunugimoto, Shota Ueyama, Akihiro Teramoto, Yuta Nishiyama, Shinichi Hatakeyama
  • Patent number: 11718914
    Abstract: An apparatus for controlling precursor flow. The apparatus may include a processor; and a memory unit coupled to the processor, including a flux control routine. The flux control routine may be operative on the processor to monitor the precursor flow and may include a flux calculation processor to determine a precursor flux value based upon a change in detected signal intensity received from a cell of a gas delivery system to deliver a precursor.
    Type: Grant
    Filed: September 4, 2020
    Date of Patent: August 8, 2023
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Elaina Babayan, Sarah White, Vijay Venugopal, Jonathan Bakke
  • Patent number: 11720033
    Abstract: A method includes: storing a carrier containing material in a storage; recording environmental data of the storage to a database while the material is in the storage; generating a forecast for the material in the carrier based on the environmental data; receiving a request for the material from a semiconductor fabrication tool; and providing the carrier to the semiconductor fabrication tool based on the forecast.
    Type: Grant
    Filed: September 15, 2021
    Date of Patent: August 8, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Rong-Syuan Fan, Ching-Jung Chang, Chi-Feng Tung, Hsiang-Yin Shen
  • Patent number: 11714097
    Abstract: A system and a method are disclosed for aligning process data to a flow of material in a manufacturing process. That is, the disclosed embodiments enable tracking of material as that material travels (e.g., via a transportation mechanism such as a conveyor belt) between various processing devices in the manufacturing process. Each processing device may include one or more sensors. For example, the disclosed system and method enable tracking the same material over different manufacturing steps.
    Type: Grant
    Filed: March 30, 2022
    Date of Patent: August 1, 2023
    Assignee: THINKIQ, INC.
    Inventors: Douglas C. Lawson, Niels Andersen
  • Patent number: 11709420
    Abstract: A design method of a metal mask, a manufacturing method of the metal mask and a computer-readable storage medium are provided. The design method of a metal mask includes: calculating amounts of deformations of the metal mask in two directions perpendicular to each other based on a stretching force of the metal mask in use and deformation properties of the metal mask in the two directions; and compensating the deformations of the metal mask in the two directions by compensation amounts for the deformations, which are identical and opposite to the amounts of the deformations of the metal mask in the two directions, respectively.
    Type: Grant
    Filed: January 10, 2018
    Date of Patent: July 25, 2023
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Jianpeng Wu, Weiwei Ding, Zhongying Yang, Chang Luo
  • Patent number: 11709432
    Abstract: A method for characterizing post-processing data in terms of individual contributions from processing stations, the post-processing data relating to a manufacturing process for manufacturing integrated circuits on a plurality of substrates using a corresponding processing apparatus for each of a plurality of process steps, at least some of the processing apparatuses each including a plurality of the processing stations, and wherein the combination of processing stations used to process each substrate defines a process thread for the substrate; the method including: obtaining post-processing data associated with processing of the plurality of substrates in a cyclic sequence of processing threads; and determining an individual contribution of a particular processing station by comparing a subset of the post-processing data corresponding to substrates having shared process sub-threads, wherein a process sub-thread describes the process steps of each process thread other than the process step to which the particu
    Type: Grant
    Filed: September 19, 2019
    Date of Patent: July 25, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Wim Tjibbo Tel, Ekaterina Mikhailovna Viatkina, Tom Van Hemert