Electroless deposition apparatus

-

An apparatus and a method of depositing a catalytic layer comprising at least one metal selected from the group consisting of noble metals, semi-noble metals, alloys thereof, and combinations thereof in sub-micron features formed on a substrate. Examples of noble metals include palladium and platinum. Examples of semi-noble metals include cobalt, nickel, and tungsten. The catalytic layer may be deposited by electroless deposition, electroplating, or chemical vapor deposition. In one embodiment, the catalytic layer may be deposited in the feature to act as a barrier layer to a subsequently deposited conductive material. In another embodiment, the catalytic layer may be deposited over a barrier layer. In yet another embodiment, the catalytic layer may be deposited over a seed layer deposited over the barrier layer to act as a “patch” of any discontinuities in the seed layer. Once the catalytic layer has been deposited, a conductive material, such as copper, may be deposited over the catalytic layer. In one embodiment, the conductive material is deposited over the catalytic layer by electroless deposition. In another embodiment, the conductive material is deposited over the catalytic layer by electroless deposition followed by electroplating or followed by chemical vapor deposition. In still another embodiment, the conductive material is deposited over the catalytic layer by electroplating or by chemical vapor deposition.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional of co-pending U.S. patent application Ser. No. 10/059,572, filed Jan. 28, 2002 and entitled “Electroless Deposition Apparatus.” This application is a continuation-in-part of co-pending U.S. patent application Ser. No. 10/630,185, filed Jul. 29, 2003 and entitled “Electro-Chemical Deposition Cell For Face-Up Processing Of Single Semiconductor Substrates.” Each of the aforementioned related patent applications is herein incorporated by reference.

BACKGROUND OF THE INVENTION

1. Field of the Invention

The present invention generally relates to an apparatus and method of depositing a conductive material over sub-micron apertures formed on a substrate.

2. Description of the Related Art

Reliably producing sub-micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) of semiconductor devices. However, as the fringes of circuit technology are pressed, the shrinking dimensions of interconnects in VLSI and ULSI technology have placed additional demands on the processing capabilities. The multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias and other interconnects. Reliable formation of these interconnects is very important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates.

As circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Many traditional deposition processes have difficulty filling sub-micron structures where the aspect ratio exceeds 2:1, and particularly where the aspect ratio exceeds 4:1. Therefore, there is a great amount of ongoing effort being directed at the formation of substantially void-free, sub-micron features having high aspect ratios.

Currently, copper and its alloys have become the metals of choice for sub-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 μΩ-cm compared to 3.1 μΩ-cm for aluminum), and a higher current carrying capacity and significantly higher electromigration resistance. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.

Electroplating is one process being used to fill high aspect ratio features on substrates. Electroplating processes typically require a thin, electrically conductive seed layer to be deposited on the substrate. Electroplating is accomplished by applying an electrical current to the seed layer and exposing the substrate to an electrolytic solution containing metal ions which plate over the seed layer. The seed layer typically comprises a conductive metal, such as copper, and is conventionally deposited on the substrate using physical vapor deposition (PVD) or chemical vapor deposition (CVD) techniques. A continuous metal seed layer is essential for conducting the current required during electroplating. As feature sizes decrease, the ability to deposit conformal seed layers can be compromised. A discontinuous seed layer over the substrate may cause a number of problems during electroplating.

For example, when a discontinuity is present in the metal seed layer, the portion of the seed layer that is not electrically connected to the bias power supply does not receive deposition during the electroplating process. Particularly with physical vapor deposition of a seed layer, it is very difficult to deposit a continuous, uniform seed layer within a high aspect ratio, sub-micron feature. The seed layer tends to become discontinuous especially at the bottom surface of the feature because it is difficult to deposit material through the narrow (i.e., sub-micron) aperture of the feature. Discontinuities in the metal seed layer may cause void formations in high aspect ratio interconnect features. During the electroplating process, the metal deposits on all of the surfaces that are electrically connected to the bias power supply. Because the electroplated metal grows in all directions, the deposition around an area of discontinuity in the seed layer typically forms a bridge over the discontinuity, leaving a void adjacent the discontinuity within the feature. The void changes the operating characteristics of the interconnect feature and may cause improper operation and premature breakdown of the device. U.S. Pat. No. 6,197,181 entitled “Apparatus and Method For Electrolytically Depositing a Metal on a Microelectronic Workpiece” discloses repairing a PVD or CVD copper seed layer to form an “enhanced seed layer” by electroplating a copper layer by utilizing an alkaline plating solution. Bulk deposition is then performed by electroplating copper by utilizing an acidic plating solution which has higher deposition rates than with use of an alkaline solution. One problem with the disclosed process is that providing an “enhanced seed layer” depends on an electroplating process over a copper seed layer which may exhibit the problems discussed above.

For example, when a discontinuity is present in the metal seed layer, the portion of the seed layer that is not electrically connected to the bias power supply does not receive deposition during the electroplating process. Particularly with physical vapor deposition of a seed layer, it is very difficult to deposit a continuous, uniform seed layer within a high aspect ratio, sub-micron feature. The seed layer tends to become discontinuous especially at the bottom surface of the feature because it is difficult to deposit material through the narrow (i.e., sub-micron) aperture of the feature. Discontinuities in the metal seed layer may cause void formations in high aspect ratio interconnect features. During the electroplating process, the metal deposits on all of the surfaces that are electrically connected to the bias power supply. Because the electroplated metal grows in all directions, the deposition around an area of discontinuity in the seed layer typically forms a bridge over the discontinuity, leaving a void adjacent the discontinuity within the feature. The void changes the operating characteristics of the interconnect feature and may cause improper operation and premature breakdown of the device. U.S. Pat. No. 6,197,181 entitled “Apparatus and Method For Electrolytically Depositing a Metal on a Microelectronic Workpiece” discloses repairing a PVD or CVD copper seed layer to form an “enhanced seed layer” by electroplating a copper layer by utilizing an alkaline plating solution. Bulk deposition is then performed by electroplating copper by utilizing an acidic plating solution which has higher deposition rates than with use of an alkaline solution. One problem with the disclosed process is that providing an “enhanced seed layer” depends on an electroplating process over a copper seed layer which may exhibit the problems discussed above. (See, col. 4, Ins. 25-46.)

U.S. Pat. No. 6,197,688 entitled “Interconnect Structure in a Semiconductor Device and Method of Formation,” suggests materials for electroless deposition. The patent, however, does not disclose the processing conditions for the electroless deposition of the materials over sub-micron features. Accordingly, a satisfactory method of utilizing electroless deposition in the processing of substrates having sub-micron geometries has yet to be demonstrated.

Deposition of a conductive material in micron technology by electroless or electroplating techniques require a surface capable of electron transfer for nucleation of the conductive material to occur over that surface. Non-metal surfaces and oxidized surfaces are examples of surfaces which cannot participate in electron transfer. Barrier layers comprising titanium, titanium nitride, tantalum, and tantalum nitride are poor surfaces for nucleation of a subsequently deposited conductive material layer since native oxides of these barrier layer materials are easily formed. A seed layer, such as a copper seed layer, can serve as a surface capable of electron transfer. However, where there are discontinuities in the seed layer, nucleation of a subsequently deposited conductive material layer is incomplete and may not form uniformly over the seed layer.

Therefore, there is a need for an improved apparatus and method for depositing a conductive metal in sub-micron features formed in a substrate.

SUMMARY OF THE INVENTION

One embodiment provides an apparatus and a method of depositing a catalytic layer comprising at least one metal selected from the group consisting of noble metals, semi-noble metals, alloys thereof, and combinations thereof in sub-micron features formed on a substrate. The catalytic layer provides a surface capable of electron transfer for subsequent deposition and nucleation of a conductive material. Noble metals and semi-noble metals are not readily oxidized, and thus provide a surface capable of electron transfer. Examples of noble metals include gold, silver, platinum, palladium, iridium, rhenium, mercury, ruthenium, and osmium. In one embodiment, the noble metal used comprises palladium or platinum, and most preferably the noble metal comprises palladium. Examples of semi-noble metals include, iron, cobalt, nickel, copper, carbon, aluminum and tungsten. In another embodiment, the semi-noble metal used comprises cobalt, nickel, or tungsten. The catalytic layer may be deposited by electroless deposition, electroplating, or chemical vapor deposition. In one embodiment, the catalytic layer may be deposited in the feature to act as a barrier layer to a subsequently deposited conductive material. In one aspect, the catalytic/barrier layer comprises cobalt, tungsten or combinations thereof. In another embodiment, the catalytic layer may be deposited over a barrier layer. In yet another embodiment, the catalytic layer may be deposited over a seed layer deposited over the barrier layer to act as a “patch” of any discontinuities in the seed layer.

Once the catalytic layer has been deposited, a conductive material, such as copper, may be deposited over the catalytic layer. In one embodiment, the conductive material is deposited over the catalytic layer by electroless deposition. In another embodiment, the conductive material is deposited over the catalytic layer by electroless deposition followed by electroplating or chemical vapor deposition. In still another embodiment, the conductive material is deposited over the catalytic layer by electroplating. In yet another embodiment, the conductive material is deposited over the catalytic layer by chemical vapor deposition.

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.

It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIGS. 1A-D show schematic cross-sectional views of a feature filled by embodiments of the present method.

FIG. 2 shows a schematic cross-sectional view of one embodiment of a chamber useful for the deposition of a catalytic layer and/or a conductive material layer.

FIGS. 3A-D show a schematic cross-sectional view of one embodiment of the perimeter portion of the substrate support of FIG. 2.

FIG. 4 shows a schematic diagram of a power supply connected to a conductive portion of a substrate.

FIG. 5 shows a schematic cross-sectional view of another embodiment of a chamber useful for the deposition of a catalytic layer and/or a conductive material layer.

FIG. 6 shows a schematic cross-sectional view of one embodiment of the perimeter portion of the substrate support of FIG. 5.

FIG. 7 shows a schematic cross-sectional view of another embodiment of the perimeter portion of the substrate support of FIG. 5.

FIG. 8 shows a schematic cross-sectional view of still another embodiment of a chamber useful for the deposition of a catalytic layer and/or a conductive material layer.

FIG. 9 shows a schematic cross-sectional view of yet another embodiment of a chamber useful for the deposition of a catalytic layer and/or a conductive material layer.

FIG. 10 shows a cross-sectional view of one embodiment of a multilevel chamber useful for the deposition of a catalytic layer and/or a conductive material layer.

FIG. 11 shows a schematic cross-sectional view of another embodiment of a chamber useful for the deposition of a catalytic layer and/or a conductive material layer.

FIG. 12 shows a schematic cross-sectional view of another embodiment of a chamber useful for the deposition of a catalytic layer and/or a conductive material layer.

FIG. 13 shows a schematic cross-sectional view of one embodiment of a rapid thermal anneal chamber.

FIG. 14 shows a schematic top view of one embodiment of an exemplary electroless deposition system platform useful in the electroless deposition of a catalytic layer and a conductive material layer.

FIG. 15 shows a schematic top view of one embodiment of an exemplary electroless deposition system platform useful in the deposition of a catalytic layer and a conductive material layer.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

FIG. 1A shows a schematic cross-sectional view of a substrate structure 10 formed on a substrate 14 and filled by one method of the present invention. The substrate 14 refers to any workpiece upon which film processing is performed. For example, the substrate 14 may be a silicon semiconductor wafer, or other material layer, which has been formed on the wafer. A dielectric layer 12 is deposited over the substrate. The dielectric layer 12 may be an oxide, a silicon oxide, carbon-silicon-oxide, a fluoro-silicon, a porous dielectric, or other suitable dielectric. The dielectric layer 12 is patterned to provide a feature 16, such as a via, trench, contact hole, or line extending to an exposed surface portion of the substrate 14. It is also understood by those with skill in the art that the present invention may be used in a dual damascene process flow. The substrate structure 10 is used to denote the substrate 14 as well as other material layers formed on the substrate 14, such as the dielectric layer 12 and other subsequently deposited material layers.

FIG. 1A shows one method of filling the feature 16 comprising depositing a barrier layer 20 over the substrate structure 10, depositing a seed layer 22 over the barrier layer 20, depositing a catalytic layer 24 over the seed layer 22, and filling the remaining aperture by depositing a conductive material layer 26. FIG. 1B shows a schematic cross-sectional view of feature 16 filled by another embodiment comprising depositing a barrier layer 20 over the substrate structure 10, depositing a catalytic layer 24 over the barrier layer 20, and filling the remaining aperture by depositing a conductive material layer 26. FIG. 1C shows a schematic cross-section view of feature 16 filled by still another embodiment comprising depositing a catalytic layer 20 over the substrate structure 10, and filling the remaining aperture by depositing a conductive material layer 26. For FIGS. 1A-1C, the conductive material layer 26 may be deposited by electroless deposition, electroplating, chemical vapor deposition, or a combination of electroless deposition followed by electroplating or chemical vapor deposition. The methods as shown in FIGS. 1A-1C may further comprise planarizing the top portion of the filled features, such as by chemical mechanical polishing. FIG. 1D shows a cross-sectional view of the filled feature of FIG. 1A planarized. The present methods have been observed to be suitable for filling of sub-half micron features, sub-quarter micron features, and sub-0.13 micron features.

Deposition of a Barrier Layer

FIG. 1A shows one method of filling the feature 16 comprising depositing a barrier layer 20 over the substrate structure 10, depositing a seed layer 22 over the barrier layer 20, depositing a catalytic layer 24 over the seed layer 22, and filling the remaining aperture by depositing a conductive material layer 26. FIG. 1B shows a schematic cross-sectional view of feature 16 filled by another embodiment comprising depositing a barrier layer 20 over the substrate structure 10, depositing a catalytic layer 24 over the barrier layer 20, and filling the remaining aperture by depositing a conductive material layer 26. FIG. 1C shows a schematic cross-section view of feature 16 filled by still another embodiment comprising depositing a catalytic layer 24 over the substrate structure 10, and filling the remaining aperture by depositing a conductive material layer 26. For FIGS. 1A-1C, the conductive material layer 26 may be deposited by electroless deposition, electroplating, chemical vapor deposition, or a combination of electroless deposition followed by electroplating or chemical vapor deposition. The methods as shown in FIGS. 1A-1C may further comprise planarizing the top portion of the filled features, such as by chemical mechanical polishing. FIG. 1D shows a cross-sectional view of the filled feature of FIG. 1A planarized. The present methods have been observed to be suitable for filling of sub-half micron features, sub-quarter micron features, and sub-0.13 micron features.

The barrier layer may be deposited by CVD, PVD, electroless deposition techniques, or molecular beam epitaxy. The barrier layer may also be a multi-layered film deposited individually or sequentially by the same or by a combination of techniques.

Physical vapor deposition techniques suitable for the deposition of the barrier layer include techniques such as high density plasma physical vapor deposition (HDP PVD) or collimated or long throw sputtering. One type of HDP PVD is ionized metal plasma physical vapor deposition (IMP PVD). An example of a chamber capable of IMP PVD of a barrier layer is an IMP VECTRA™ chamber. The chamber and process regime are available from Applied Materials, Inc. of Santa Clara, Calif. Generally, IMP PVD involves ionizing a significant fraction of material sputtered from a metal target to deposit a layer of the sputtered material on a substrate. Power supplied to a coil in the chamber enhances the ionization of the sputtered material. The ionization enables the sputtered material to be attracted in a substantially perpendicular direction to a biased substrate surface and to deposit a layer of material with good step coverage over high aspect ratio features. The chamber may also include a reactive processing gas, such as nitrogen for the deposition of a metal nitride. An exemplary process for the deposition of barrier layers utilizing physical vapor deposition is more fully described in co-pending U.S. patent application Ser. No. 09/650,108, entitled, “Method For Achieving Copper Fill Of High Aspect Ratio Interconnect Features,” filed on Aug. 29, 2000, which is incorporated herein by reference to the extent not inconsistent with the invention.

An example of a chamber capable of chemical vapor deposition of a barrier layer is a CVD TXZ™ chamber. The chamber and the process regime is also available from Applied Materials, Inc. of Santa Clara, Calif. Generally, chemical vapor deposition involves flowing a metal precursor into the chamber. The metal precursor chemically reacts to deposit a metal film on the substrate surface. Chemical vapor deposition may further include utilizing a plasma to aid in the deposition of the metal film on the substrate surface. Exemplary processes for the deposition of barrier layers from metal precursors are more fully described in co-pending U.S. patent application Ser. No. 09/505,638, entitled, “Chemical Vapor Deposition of Barriers From Novel Precursors,” filed on Feb. 16, 2000, and in co-pending U.S. patent application Ser. No. 09/522,726, entitled, “MOCVD Approach To Deposit Tantalum Nitride Layers,” filed on Mar. 10, 2000, both incorporated herein by reference to the extent not inconsistent with the invention. In addition, the PVD chamber and/or the CVD chamber can be integrated into a processing platform, such as an ENDURA™ platform, also available from Applied Materials, Inc. of Santa Clara, Calif.

Deposition of a Seed Layer

The seed layer 22 comprises a conductive metal that aids in subsequent deposition of materials thereover. The seed layer preferably comprises a copper seed layer or alloys thereof. Other metals, particularly noble metals, may also be used for the seed layer. The seed layer may be deposited over the barrier layer by techniques conventionally known in the art including physical vapor deposition techniques and chemical vapor deposition techniques.

Physical vapor deposition techniques suitable for the deposition of the seed layer include techniques such as high density plasma physical vapor deposition (HDP PVD) or collimated or long throw sputtering. One type of HDP PVD is ionized metal plasma physical vapor deposition (IMP PVD). An example of a chamber capable of ionized metal plasma physical vapor deposition of a seed layer is an IMP Vectra™ chamber. The chamber and process regime are available from Applied Materials, Inc. of Santa Clara, Calif. An exemplary process for the deposition of a seed layer utilizing PVD techniques is more fully described in co-pending U.S. patent application Ser. No. 09/650,108, entitled, “Method For Achieving Copper Fill of High Aspect Ratio Interconnect Features,” filed on Aug. 29, 2000, which is incorporated herein by reference to the extent not inconsistent with the invention. An example of a chamber capable of chemical vapor deposition of the seed layer is a CVD TXZ™ chamber. The chamber and the process regime are also available from Applied Materials, Inc. of Santa Clara, Calif. An exmplary process for the deposition of a seed layer utilizing CVD techniques is more fully decribed in U.S. Pat. No. 6,171,661 entitled “Deposition of Copper With Increased Adhesion,” issued on Jan. 9, 2001.

Deposition of the seed layer by physical vapor deposition techniques is preferred over chemical vapor deposition techniques because of the better adhesion of a PVD seed layer to the barrier layer and lower resistance of the PVD seed layer. It is also believed the PVD seed layer promotes adhesion of the catalytic layer thereon.

Apparatus for Electroless Deposition of a Catalytic Layer and/or a Conductive Material Layer

The catalytic layer 24 may be deposited over the seed layer 22, may be deposited on the barrier layer 20, or may be deposited over the substrate structure 10 without the use of a barrier layer. In one embodiment, the catalytic layer may be deposited by electroless deposition. In one embodiment, electroless deposition of the catalytic layer comprises contacting the substrate structure with an aqueous solution comprising 1) noble metal ions, semi-noble metal ions, or combinations thereof, and 2) Group IV metal ions, such as tin (Sn) ions. In another embodiment, electroless deposition of the catalytic layer comprises contacting the substrate structure with an aqueous solution comprising Group IV metal ions, such as tin ions, and then contacting the substrate structure with an aqueous solution comprising noble metal ions, semi-noble metal ions, or combinations thereof.

In one embodiment, the conductive material layer 26, such as a copper layer, may be deposited over the catalytic layer 24 by contacting the substrate structure with an aqueous solution comprising conductive metal ions, such as copper ions, and a reducing agent.

The method of electroless deposition of a catalytic layer and the method of electroless deposition of a conductive material layer may be performed in any chamber adapted to contact a substrate with a processing solution, such as electroless deposition chambers, electroplating chambers, etc. In one embodiment, the catalytic layer and the conductive material layer may be deposited in the same chamber. In another embodiment, the catalytic layer and the conductive material layer are deposited in separate chambers. In one aspect, depositing the catalytic layer and the conductive material layer in separate chambers reduces the generation of particles which may form and deposit on chamber components as a result of the reaction of the catalytic layer solutions and the conductive material layer solutions.

FIG. 2 shows a schematic cross-sectional view of one embodiment of a chamber 100 useful for the deposition of a catalytic layer and/or a conductive material layer as described herein. Of course, the chamber 100 may also be configured to deposit other types of layers other than the catalytic layer and the conductive material layer.

The chamber 100 includes a processing compartment 102 comprising a top 104, sidewalls 106, and a bottom 107. A substrate support 112 is disposed in a generally central location in the chamber 100. The substrate support 112 includes a substrate receiving surface 114 to receive the substrate 110 in a “face-up” position. In one aspect, having the substrate 110 disposed on the substrate support 112 in a “face-up” position reduces the possibility of bubbles in a fluid when applied to the substrate 110 from affecting the processing of the substrate 110. For example, bubbles may be created in the fluid in-situ, created in the fluid handling equipment, or may be created by transferring of a wet substrate. If the substrate was disposed in a “face-down position” during processing, bubbles in the fluid would be trapped against the surface of the substrate as a result of the buoyancy of the bubbles. Having the substrate in a “face-up” position reduces bubbles in the fluid from being situated against the surface of the substrate since the buoyant forces causes the bubbles to rise up in the fluid. Having the substrate in a face-up position also lessens the complexity of the substrate transfer mechanisms, improves the ability to clean the substrate during processing, and allows the substrate to be transferred in a wet state to minimize contamination and/or oxidation of the substrate.

The substrate support 112 may comprise a ceramic material (such as alumina Al2O3 or silicon carbide (SiC)), TEFLON™ coated metal (such as aluminum or stainless steal), a polymer material, or other suitable materials. TEFLON™ as used herein is a generic name for fluorinated polymers such as Tefzel (ETFE), Halar (ECTFE), PFA, PTFE, FEP, PVDF, etc. Preferably, the substrate support 112 comprises alumina. The substrate support 112 may further comprise embedded heated elements, especially for a substrate support comprising a ceramic material or a polymer material.

The substrate support 112 may comprise a ceramic material (such as alumina Al2O3 or silicon carbide (SiC)), TEFLON™ coated metal (such as aluminum or stainless steal), a polymer material, or other suitable materials. TEFLON™ as used herein is a generic name for fluorinated polymers such as Tefzel (ETFE), Halar (ECTFE), PFA, PTFE, FEP, PVDF, etc. Preferably, the substrate support 112 comprises alumina. The substrate support 112 may further comprise embedded heated elements (item# 112a), especially for a substrate support comprising a ceramic material or a polymer material.

A lift assembly 116 may be disposed below the substrate support 112 and coupled to lift pins 118 to raise and lower lift pins 118 through apertures 120 in the substrate support 112. The lift pins 118 raise and lower the substrate 110 to and from the substrate receiving surface 114 of the substrate support 112.

A motor 122 may be coupled to the substrate support 112 to rotate the substrate support 112 to spin the substrate 110. In one embodiment, the lift pins 118 may be disposed in a lower position below the substrate support 112 to allow the substrate support 112 to rotate independently of the lift pins 118. In another embodiment, the lift pins 118 may rotate with the substrate support 112.

A motor 119 may be coupled to the substrate support 112 to rotate the substrate support 112 to spin the substrate 110. In one embodiment, the lift pins 118 may be disposed in a lower position below the substrate support 112 to allow the substrate support 112 to rotate independently of the lift pins 118. In another embodiment, the lift pins 118 may rotate with the substrate support 112.

A fluid input, such as a nozzle 123, may be disposed in the chamber 100 to deliver a fluid, such as a chemical processing solution, deionized water, and/or an acid solution, to the surface of the substrate 110. The nozzle 123 may be disposed over the center of the substrate 110 to deliver a fluid to the center of the substrate 110 or may be disposed in any position. The nozzle 123 may be disposed on a dispense arm 122 positioned over the top 104 or through the sidewall 116 of the processing compartment 102. The dispense arm 122 may be moveable about a rotatable support member 121 which is adapted to pivot and swivel the dispense arm 122 and the nozzle 123 to and from the center of the substrate 110. Additionally or alternatively, a nozzle (not shown) may be disposed on the top 104 or sidewalls 106 of the chamber 100 and adapted to spray a fluid in any desired pattern on the substrate 110.

A single or a plurality of fluid sources 128a-f (collectively referred to as “fluid sources”) may be coupled to the nozzle 123. Valves 129 may be coupled between the fluid sources 128 and the nozzle 123 to provide a plurality of different types of fluids. Fluid sources 128 may provide, for example and depending on the particular process, deionized water, acid or base solutions, salt solutions, noble metal/Group IV metal solutions (i.e. palladium and tin solutions), semi-noble metal/Group IV metal solutions (i.e. cobalt and tin solutions), noble metal solutions, semi-noble metal solutions, Group IV metal solutions, copper solutions, reducing agent solutions, and combinations thereof. Preferably, the chemical processing solutions are mixed on an as-needed basis for each substrate 110 that is processed. Since chemical processing solutions may be unstable, this point-of-use delivery prevents the solutions from losing their reactivity. Point-of-use delivery also prevents the solutions from prematurely depositing on chamber components and on fluid delivery system components. For example, to dispense a solution containing tin and palladium from fluid source 128a, tin and palladium may be mixed together just prior to being dispensed from fluid source 128a.

The valves 129 may also be adapted to allow a metered amount of fluid to be dispensed to the substrate 110 to minimize chemical waste since some of the chemical processing solutions may be very expensive to purchase and to dispose of. In one embodiment, the fluid path between the fluid sources 128 and the nozzle 123 may be heated, in order to deliver a fluid to the substrate surface at a certain temperature.

The valves 129 may also be adapted to allow a metered amount of fluid to be dispensed to the substrate 110 to minimize chemical waste since some of the chemical processing solutions may be very expensive to purchase and to dispose of. In one embodiment, the fluid path between the fluid sources 128 and the nozzle 123 may be heated, by use of a heater 123a, in order to deliver a fluid to the substrate surface at a certain temperature.

The fluid lines coupled from the fluid sources 128, from the drain 127, and/or to and from the regeneration element 149 may be cleaned and purged with a fluid to reduce particles formed in the fluid lines. For example, the fluid lines may be purged after every wafer, after every other wafer, etc.

In one embodiment, the substrate support 112 may be adapted to rotate. The rotational speed of the substrate support 112 may be varied according to a particular process being performed (e.g. deposition, rinsing, drying.) In the case of deposition, the substrate support 112 may be adapted to rotate at relatively slow speeds, such as between about 10 RPMs and about 500 RPMs, depending on the viscosity of the fluid, to spread the fluid across the surface of the substrate 110 by virtue of the fluid inertia. In the case of rinsing, the substrate support 112 may be adapted to spin at relatively medium speeds, such as between about 100 RPMs and about 500 RPMs. In the case of drying, the substrate support may be adapted to spin at relatively fast speeds, such as between about 500 RPMS and about 2000 RPMs to spin dry the substrate 110. The substrate support 112 may be adapted to spin in alternating directions in a back-and-forth motion to assist in spreading the fluid evenly across the surface of the substrate 110. In one embodiment, the dispense arm 122 is adapted to move during dispensation of the fluid to improve fluid coverage of the substrate 110. Preferably, the substrate support 112 rotates during dispensation of a fluid from the nozzle 123 in order to increase throughput of the system.

The substrate support 112 may include a vacuum port 124 coupled to a vacuum source 125 to supply a vacuum to the backside of the substrate to vacuum chuck the substrate 110 to the substrate support 112. Vacuum Grooves 126 may be formed on the substrate support 112 in communication with the vacuum port 124 to provide a more uniform vacuum pressure across the backside of the substrate 110. In one aspect, the vacuum chuck improves heat transfer between the substrate 110 and the substrate support 112. In addition, the vacuum chuck holds the substrate 110 during rotation of the substrate support 112.

FIG. 3A shows a schematic cross-sectional view of one embodiment of the perimeter portion of the substrate support 112 of FIG. 2. The substrate support 112 may include a fluid drain 132 formed at a perimeter portion of the substrate receiving surface 114 to provide a path for fluids to drain from the top of the substrate 110. The fluid drain 132 may be coupled to a waste port 50 to allow fluid to drain from the substrate support 112. In one embodiment, the fluid drain 132 is formed in the substrate support 112 so that the edge of the substrate will be positioned above the fluid drain 132. At least one elastomeric seal 134a-b may be disposed along the perimeter of the substrate support 112 to prevent the loss of vacuum pressure from the vacuum grooves and/or to prevent fluids from flowing on the backside of the substrate 110. In one embodiment, the elastomeric seal 134a is in the shape of an annular suction cup having a flap 136 which is adapted to be compressed by the substrate 110. Alternatively, the elastomeric seal 134b may be in the shape of an annular tube similar to an o-ring. For example, if two elastomeric seals 134 are used, one of the elastomeric seals 134a may be positioned radially inward on the substrate support 112 to the other elastomeric seal 134b. Another elastomeric seal 135 may also be disposed around the apertures 120 in the substrate support 112 to prevent the loss of vacuum pressure from the vacuum grooves 126 through the apertures 120.

FIG. 3B shows another schematic cross-sectional view of one embodiment of the perimeter portion of the substrate support 112 of FIG. 2. The substrate support 112 may include a gas outlet 130 formed radially inward of the fluid drain 132 to provide a purge gas, such as nitrogen gas or any other gas, to the backside of the perimeter portion of the substrate 110. A gas source or a gas inlet (not shown) is coupled to gas outlet 130 to the purge gas. A channel 133 may be formed in the substrate support 112 to communicate the gas outlet 130 with the fluid drain 132 and to direct the purge gas radially from the gas outlet 130 to the fluid drain 132 as shown by arrow 131. The purge gas prevent fluids from flowing on the backside of the substrate 110 and assists the flow of fluid into the fluid drain 132. The substrate support 112 may further include at least one elastomeric seal 134c disposed on the substrate support 112 radially inward of the gas outlet 130 to prevent the loss of vacuum pressure from the vacuum grooves and/or to prevent fluids from flowing on the backside of the substrate 110.

FIG. 3B shows another schematic cross-sectional view of one embodiment of the perimeter portion of the substrate support 112 of FIG. 2. The substrate support 112 may include a gas outlet 130 formed radially inward of the fluid drain 132 to provide a purge gas, such as nitrogen gas or any other gas, to the backside of the perimeter portion of the substrate 110. A gas source or a gas inlet (not shown) is coupled to gas outlet 130 to the purge gas. A channel 133 may be formed in the substrate support 112 to communicate the gas outlet 130 with the fluid drain 132 and to direct the purge gas radially from the gas outlet 130 to the fluid drain 132 as shown by arrow 131. The purge gas prevents fluids from flowing on the backside of the substrate 110 and assists the flow of fluid into the fluid drain 132. The substrate support 112 may further include at least one elastomeric seal 134c disposed on the substrate support 112 radially inward of the gas outlet 130 to prevent the loss of vacuum pressure from the vacuum grooves and/or to prevent fluids from flowing on the backside of the substrate 110.

FIG. 3D shows another schematic cross-sectional view of one embodiment of the perimeter portion of the substrate support 112 of FIG. 2. The substrate support 112 may include at least one elastomeric seal 134e formed at a perimeter portion of the substrate receiving surface 114 to prevent the loss of vacuum pressure from the vacuum grooves and/or to prevent fluids from flowing on the backside of the substrate 110. The substrate support 112 may further include a lip 52 so that a processing fluid 54 may collect on the substrate 110 and the substrate support 112. In one embodiment, the substrate support 112 may be adapted to rotate to remove the processing fluid 54 collected on the substrate 110 and the substrate support 112 through inertia of the processing fluid 54.

These “fluid seals” as shown and described in FIGS. 3A-3D prevents chemical processing solutions from depositing on the backside of the substrate 110. In addition, if pulled through the vacuum grooves 126 and into the vacuum port 124, fluids and chemical processing solutions may damage or block the vacuum source.

The chamber may further include a power supply coupled to the substrate to provide a bias thereto. FIG. 4 shows a schematic diagram of one embodiment of a power supply 60 connected to a conductive portion of a substrate 110 to provide a bias to the substrate. One pole of a power supply 60 is coupled to the substrate (i.e. to a conductive copper seed 22 layer) by an electrical contact 62. The electrical contact 62 may be a contact ring as more fully described in U.S. patent application 09/289,074, entitled “Electro-Chemical Deposition System,” filed on Apr. 8, 1999, which is incorporated by reference in its entirety. The other pole of the power supply 60 is coupled to an electrode 64 adapted to be contact with a fluid 66 on the substrate 110. A fluid seal 68 may be disposed in contact with the substrate 110 to isolate the electrical contact 62 from the electrode 64.

FIG. 5 shows another embodiment of the chamber 100 of FIG. 2 further comprising an evaporation shield 138 adapted to be disposed over the substrate 110 on the substrate receiving surface 114 and sized to cover the substrate 110 in order to prevent the evaporation of a fluid, such as a chemical processing solution, dispensed on the substrate 110. In one embodiment, if the catalytic layer and the conductive material layer are deposited in separate chambers, the chamber for electroless deposition of the catalytic layer may not have an evaporation shield while the chamber for electroless deposition of the conductive material layer does have an evaporation shield. For the electroless deposition of some catalytic layers, because deposition occurs at a relatively low temperature and for a relatively short period and because the deposited layer may be relatively thin, evaporation of the fluid layer may not adversely affect the deposition of the catalytic layer. However in another embodiment, if the catalytic layer and the conductive material layer are deposited in separate chambers, the chamber for electroless deposition of the catalytic layer and the chamber for electroless deposition of the conductive material layer both have an evaporation shield.

In one embodiment, the evaporation shield 138 and/or the substrate support 112 may be adapted to move up and down to allow the substrate 110 to be transferred to and from the substrate receiving surface 114. In one embodiment, a fluid input, such as a fluid port 144, in the evaporation shield may be coupled to a single or a plurality of fluid sources 128 to provide a plurality of different types of fluids. Valves 129 may be coupled between the fluid sources 128 and the fluid port 144 to provide a plurality of different types of fluids. Preferably, the chemical processing solutions are mixed or prepared on an as-needed basis for each substrate 110 that is processed. Since chemical processing solutions may be unstable, this point-of-use delivery prevents the solutions from losing their reactivity. Point-of-use delivery also prevents the solutions from prematurely depositing on chamber components and on fluid delivery system components. The valves 129 may also be adapted so that a metered amount of fluid is dispensed to the substrate 110 to minimize chemical waste since some of the chemical processing solutions may be very expensive to purchase and to dispose of. In one embodiment, the fluid path between the fluid sources 128 and the fluid port 144 may be heated in order to deliver a fluid to the substrate surface at a certain temperature.

In one embodiment, the evaporation shield 138 may be heated to heat a fluid on the substrate 110 alone or in conjunction with a heated substrate support 112. The evaporation shield 138 may be heated with embedded heating elements within the evaporation shield 138. Alternatively, the evaporation shield may be heated by circulating a heated fluid in contact with the evaporation shield. Alternatively, the evaporation shield 138 may be heated with heat lamps.

In one embodiment, the evaporation shield 138 may be heated to heat a fluid on the substrate 110 alone or in conjunction with a heated substrate support 112. The evaporation shield 138 may be heated with embedded heating elements (item # 138a) within the evaporation shield 138. Alternatively, the evaporation shield may be heated by circulating a heated fluid in contact with the evaporation shield. Alternatively, the evaporation shield 138 may be heated with heat lamps (item # 138b).

FIG. 6 shows one embodiment of the evaporation shield 138 at a perimeter portion of the substrate support 112. The evaporation shield 138 may be positioned from the substrate 110 so that there is a gap 137 between the bottom of the evaporation shield 138 and the substrate 110. In one embodiment, a fluid may be dispensed on the substrate 110 to form a fluid layer 140 in the gap 137 with a bottom of the fluid layer 140 contacting the substrate 110 and a top of the fluid layer 140 contacting the evaporation shield 138. If the evaporation shield 138 is positioned too far away from the substrate receiving surface 114, the fluid layer 140 cannot contact the bottom of the evaporation shield 138 and condensation of the fluid may occur on the evaporation shield 138. In addition, if the evaporation shield 138 is positioned too far away from the substrate receiving surface 114, the fluid position may not be controllable between the substrate 110 and the evaporation shield 138. Condensation on the evaporation shield 138 may cause dripping of fluid from the evaporation shield 138 which may cause splashing of the fluid on the substrate 110 and which may affect the uniformity of the processing on the surface of the substrate 110. In one embodiment, the evaporation shield 138 is positioned over the substrate 110 so that the size of the gap is between about 0.5 millimeters to about 4 millimeters. Therefore, for a substrate 110 having a 300 mm diameter, the volume of the fluid layer 140 (area of the substrate×thickness of the gap) is about 35 ml to about 285 ml. Similarly, for a substrate 110 having a 200 mm diameter, the volume of the fluid layer 140 is about 15 ml and about 130 ml. In another embodiment, the bottom of the evaporation shield 138 is positioned substantially parallel to the substrate 110 disposed on the substrate receiving surface 114 to provide a substantially uniform thickness of the fluid layer 140 over the substrate 110. In one embodiment, the evaporation shield 138 and/or the substrate support 112 may be adapted to move up and down to adjust the size of the gap 137 between the evaporation shield 138 and the substrate support 112. In one embodiment, the fluid port 144 or a drain may be adapted to remove or pull back the fluid on the substrate 110 in order to reuse the fluid for processing of other substrates or to dispose of the fluid. For example, fluid port 144 may be coupled to a regeneration element 149 such that the fluid, such as an electroless deposition solution, may be recirculated, maintained, and/or chemically refreshed to be reused to process a substrate.

The evaporation shield 138 may further comprise a degassing membrane 141 as the bottom surface of the evaporation shield 138 which is adapted to be in contact with the fluid layer 140. The degassing membrane comprises a breathable material which allows the passage of air but not fluid therethrough. One example of a breathable material is a hydrophobic breathable polymer film. As a consequence, gas (such as dissolved hydrogen generated during electroless deposition of copper or trapped air bubbles) in the fluid layer 140 may be removed by exchange of the gas through the degassing membrane 141. In one embodiment, the degassing membrane is disposed on a membrane support 143 on the bottom of the evaporation shield 138. The membrane support 143 may comprise a porous polymer support. In one aspect, an anneal (as further discussed below) of the catalytic layer and/or the electroless deposited conductive layer is not needed because the degassing membrane 141 of the evaporation shield removes enough gas in the fluid layer 140. The evaporation shield 138 may further comprise a plenum 146 (shown in FIG. 5) formed therein to allow the passage of gas from the fluid layer 140 through the degassing membrane 141 and into the evaporation shield 138. In one aspect, a vacuum pressure or a controlled low partial pressure of defined gases may be provided to the plenum 146 by a plenum port 148 (shown in FIG. 5) of the evaporation shield 138 to promote the exchange of gases in the fluid layer 140 through the degassing membrane 141.

FIG. 7 shows another embodiment of the evaporation shield 138 used with a seal 142. The seal 142 may be coupled to a perimeter portion of the evaporation shield 138 and/or may be coupled to a perimeter portion on the substrate support 112. The seal 142 is sized so that it maintains the gap 137 between the evaporation shield 138 and the substrate 110 on the substrate receiving surface 114. The seal 138 may also further prevent evaporation of a fluid dispensed on the substrate 110.

FIG. 7 shows another embodiment of the evaporation shield 138 used with a seal 142. The seal 142 may be coupled to a perimeter portion of the evaporation shield 138 and/or may be coupled to a perimeter portion on the substrate support 112. The seal 142 is sized so that it maintains the gap 137 between the evaporation shield 138 and the substrate 110 on the substrate receiving surface 114. The seal 142 may also further prevent evaporation of a fluid dispensed on the substrate 110.

The bottom surface of the evaporation shield 138 may further include fluid agitation components 145, such as channels, veins or protrusions (FIGS. 6 and 7) to aid in mixing of the fluid layer 140. The channels, veins, or protrusions may be formed in any pattern, such as radially or as an array on the bottom surface of the evaporation shield 138. The evaporation shield 138 may further include a transducer 147 (FIGS. 6 and 7) adapted to provide acoustic waves, such as acoustic waves between low kilohertz frequencies up to megasonic frequencies, to the fluid layer 140 disposed on the substrate 110 in order to aid in agitation of the fluid layer 140. The transducer 147 may be disposed against the evaporation shield 138 so that the acoustic waves are coupled through the evaporation shield 138 to the fluid layer. Alternatively, the transducer 147a (FIG. 5) may comprise a rod 147b (FIG. 5) which is adapted to contact the fluid layer to provide the acoustic waves. For example, the transducer may comprise a hollow rod provided at the fluid port of the evaporation shield 138 which extends into the fluid layer 140 to provide acoustic waves to the fluid layer 140. In one embodiment, a transducer which provides low kilohertz frequencies is used in order to prevent cavitation of bubbles in the fluid layer 140. Cavitation of the bubbles in the fluid layer 140 may have a detrimental effect to the processing of the substrate and are therefore undesirable. A transducer (not shown) may also be coupled to the substrate support 112.

FIG. 8 shows a schematic cross-sectional view of one embodiment of a chamber 150 useful for the electroless deposition of a catalytic layer and/or a conductive material layer. Some components of the chamber 150 are the same or similar to those described with reference to the chamber 100, described above. Accordingly, like numbers have been used where appropriate. The chamber 150 comprises a substrate support 152 having a substrate receiving surface 154 adapted to receive a substrate 151 in a face-up position. The substrate support further includes a vacuum port 156 in communication with a bellows 159 to supply a vacuum to the backside of the substrate to vacuum chuck the substrate 151 to the substrate support 152. Vacuum Grooves 158 may be formed on the substrate support 152 in communication with the vacuum port 156 to provide a more uniform vacuum pressure across the backside of the substrate 151. When the bellows 159 expands, a vacuum is created to chuck the substrate 151 to the substrate support 152. When the bellows 159 contracts, the vacuum is released and the substrate 151 may be removed from the substrate support 152. In one aspect, the substrate support 152 does not need a gas outlet and a fluid drain, such as those shown in FIG. 3, because some fluid may enter the bellows 159 without damage to the simple mechanism of the bellows 159 and because only a fixed amount of fluid may enter the bellows 159.

FIG. 9 shows a schematic cross-sectional view of another embodiment of a chamber 160 useful for the electroless deposition of a catalytic layer and/or a conductive material layer. The chamber 160 comprises a substrate support 162 having a substrate receiving surface 164 adapted to receive a substrate 161 in a face-up position. The chamber 160 further comprises a clamp ring 166 to hold the substrate 161 against the substrate support 162. In one aspect, the clamp ring 166 improves the heat transfer between substrate 161 and the heated substrate support 162. In another aspect, the clamp ring 166 holds the substrate during rotation of the substrate support 162. In still another aspect, the thickness of the clamp ring 166 is used to form a puddle 168 of fluid on the surface of the substrate 162 during processing. The chamber 160 may further include a movable cover 169 which is adapted to be positioned on top of the clamp ring to minimize evaporation of a fluid dispensed on the substrate 161. A fluid input 58 may be coupled to the movable cover 169 to provide a fluid to the substrate 161. The fluid input 58 is adapted to have a small orifice in order to reduce evaporation of the puddle 168.

FIG. 9 shows a schematic cross-sectional view of another embodiment of a chamber 160 useful for the electroless deposition of a catalytic layer and/or a conductive material layer. The chamber 160 comprises a substrate support 162 having a substrate receiving surface 164 adapted to receive a substrate 161 in a face-up position. The chamber 160 further comprises a clamp ring 166 to hold the substrate 161 against the substrate support 162. In one aspect, the clamp ring 166 improves the heat transfer between substrate 161 and the heated substrate support 162. In another aspect, the clamp ring 166 holds the substrate during rotation of the substrate support 162. In still another aspect, the thickness of the clamp ring 166 is used to form a puddle 168 of fluid on the surface of the substrate support 162 during processing. The chamber 160 may further include a movable cover 169 which is adapted to be positioned on top of the clamp ring to minimize evaporation of a fluid dispensed on the substrate 161. A fluid input 58 may be coupled to the movable cover 169 to provide a fluid to the substrate 161. The fluid input 58 is adapted to have a small orifice in order to reduce evaporation of the puddle 168.

In one embodiment, the electroless deposition chambers of FIGS. 2-9 may be adapted to be multilevel chambers to aid in reclaiming fluids used during processing. FIG. 10 shows a cross-sectional view of one embodiment of a multilevel chamber 2200. Generally, the multilevel chamber 2200 comprises a substrate support member 2204 and a solution inlet 2240 supplying a solution into the multilevel chamber 2200 above the substrate 2202 or in the direction of the substrate surface to be processed. The multilevel chamber 2200 defines a cell enclosure 2100 and comprises an enclosure top 2102, an enclosure side wall 2104 and an enclosure bottom 2106. The enclosure side wall 2104 includes an opening 2280 for transfer of substrates into and out of the multilevel chamber 2200, and a gate valve 2282 for sealing the opening 2280. The multilevel chamber 2200 may optionally include an evaporation shield/cover 2230 disposed at a top portion of the multilevel chamber 2200. The evaporation shield/cover 2230 may be adapted to rotate.

The support member 2204 is then elevated by a motor to a processing position in which the substrate 2202 is positioned above a catch-up cup 2246. In one embodiment, the substrate 2202 is positioned proximate the evaporation shield/cover 2230. Alternatively or additionally, the evaporation shield/cover 2230 may be adapted to move to and away from the substrate. The catch cup 2246 is a structure extending inwardly from the enclosure side wall 2104 of the multilevel chamber 2200. At the processing position, a solution is pumped through the solution inlet 2240 at the enclosure top 2102 and onto the substrate surface. After the solution flows over the substrate surface, the catch cup 2246 is adapted to collect the solution. The solution then flows through a fluid drain 2244 and is pumped out of the multilevel chamber 2200 through outlet 2258. The solution may be reclaimed into the electrolyte reservoir 1094 and recirculated to the solution inlet 2240. The solution may also be dumped.

The support member 2204 is then elevated by a motor to a processing position in which the substrate 2202 is positioned above a catch cup 2246. In one embodiment, the substrate 2202 is positioned proximate the evaporation shield/cover 2230. Alternatively or additionally, the evaporation shield/cover 2230 may be adapted to move to and away from the substrate. The catch cup 2246 is a structure extending inwardly from the enclosure side wall 2104 of the multilevel chamber 2200. At the processing position, a solution is pumped through the solution inlet 2240 at the enclosure top 2102 and onto the substrate surface. After the solution flows over the substrate surface, the catch cup 2246 is adapted to collect the solution. The solution then flows through a fluid drain 2244 and is pumped out of the multilevel chamber 2200 through outlet 2258. The solution may be reclaimed into the electrolyte reservoir 1094 and recirculated to the solution inlet 2240. The solution may also be dumped.

After processing, the substrate 2202 may be lowered to a rinsing position below a horizontal plane defined by one or more rinse spray spouts 2260 but above a horizontal plane defined by the tip of the rinse catch cup 2264. The rinse spray spouts 2260 spray a rinse agent over the substrate 2202. The rinse agent is drained through the rinse drain 2270 to the bottom of the multilevel chamber 2200 and pumped out of the multilevel chamber 2200 through outlet 2259 into a rinse agent reservoir 1096. Optionally, the substrate support member 2204 may rotate to spin dry the substrate 2202. Purifiers 1194, 1196 may be coupled to the outlets 2258 and 2259 to collect or recycle the costly components (e.g. Pd, Sn, etc.) or environmentally unfriendly components (e.g. metals, complexing agents, etc.).

A shutter plate (not shown) may be positioned to isolate certain regions of the multilevel chamber 2220. For example, a shutter plate may be positioned below the evaporation shield/cover 2230 to control the dripping of residual solution from the evaporation shield/cover 2230 onto the substrate 2202. In another example, a shutter plate may be positioned above the catch cup 2246 to prevent a rinsing agent from rinse spray spouts 2260 from splashing into the catch cup 2246. Alternatively, the evaporation shield/cover 2230 may be adapted to move out of the way to prevent dripping from the evaporation shield/cover 2230 onto the substrate 2202. An exemplary multilevel chamber is more fully described in co-pending U.S. patent application Ser. No. 09/294,240, entitled, “Electro-Chemical Deposition Cell For Face-Up Processing Of Single Semiconductor Substrates,” filed Apr. 19, 1999, which is incorporated herein by reference to the extent not inconsistent with the invention.

The substrate holder 172 may further be coupled to a substrate holder assembly adapted to raise and lower the substrate holder 172. In one embodiment, the substrate holder assembly may be adapted to immerse the substrate 171 into a puddle or a bath. In another embodiment, the substrate assembly may be adapted to provide a gap between the substrate 171 and the bowl 176. The fluid source 178 is adapted to provide a fluid through the fluid port 177 to fill the gap between the substrate 171 and the bowl 176 with a fluid layer. The substrate assembly may be adapted to rotate the substrate holder 176 to provide agitation of the fluid layer. The substrate holder 172 and/or the bowl 176 may further comprise a transducer 184 to provide to acoustic waves, such as acoustic waves at ultrasonic frequencies and megasonic frequencies, to the fluid layer disposed on the substrate 171 in order to aid in agitation of the fluid layer. The substrate holder 172 may further be adapted to vibrate to aid in agitation of the fluid layer. In one aspect, agitation of the fluid layer prevents gas bubbles trapped in the fluid layer or generated in processing from affecting processing and deposition. For example, agitation of the fluid layer dislodges gas bubbles residing of the surface of the substrate 171.

The substrate holder 172 may further be coupled to a substrate holder assembly adapted to raise and lower the substrate holder 172. In one embodiment, the substrate holder assembly may be adapted to immerse the substrate 171 into a puddle or a bath. In another embodiment, the substrate assembly may be adapted to provide a gap between the substrate 171 and the bowl 176. The fluid source 178 is adapted to provide a fluid through the fluid port 177 to fill the gap between the substrate 171 and the bowl 176 with a fluid layer. The substrate assembly may be adapted to rotate the substrate holder 172 to provide agitation of the fluid layer. The substrate holder 172 and/or the bowl 176 may further comprise a transducer 184 to provide to acoustic waves, such as acoustic waves at ultrasonic frequencies and megasonic frequencies, to the fluid layer disposed on the substrate 171 in order to aid in agitation of the fluid layer. The substrate holder 172 may further be adapted to vibrate to aid in agitation of the fluid layer. In one aspect, agitation of the fluid layer prevents gas bubbles trapped in the fluid layer or generated in processing from affecting processing and deposition. For example, agitation of the fluid layer dislodges gas bubbles residing of the surface of the substrate 171.

FIG. 12 shows a schematic cross-sectional view of another embodiment of a chamber 190 useful for the deposition of a catalytic layer and/or a conductive material layer. The chamber 190 comprises a lower bowl 191 and an upper bowl 192. The lower bowl 191 is adapted to hold a substrate 193 in a face-up or a face down-position. The upper bowl 192 is adapted to move up and down for transfer of the substrate 193 from and to the chamber 190. The upper bowl 192 is further adapted to move to contact the lower bowl 191. A seal 194 is disposed between the upper bowl 192 and the lower bowl 191 to provide a liquid seal therebetween. The lower bowl 191 further comprises a fluid input, such as a fluid port 195, coupled to a fluid supply 196 and a fluid return 197. The fluid supply 196 is adapted to supply a fluid to the lower bowl 191. In one embodiment, the fluid is adapted to fill the lower bowl 191 and the upper bowl 192. The lower bowl 191 and/or the upper bowl 192 may be heated. After processing with the fluid layer is complete, the fluid return 197 is adapted to pull the fluid back through a drain or the fluid port 195 in order to reclaim the fluid for reuse in processing other substrates. In one embodiment, the chamber 190 may be designed without having a chucking mechanism. Chamber 190 may be used to advantage for electroless deposition of a copper conductive layer because copper electroless deposition will primarily occur only on a catalytic layer or metal surfaces.

The chambers of FIGS. 2-12 may be adapted for the processing of 200 mm substrates, 300 mm substrates, or any sized substrates. The chambers have been shown for single-substrate processing. However, the chambers may be adapted for batch processing. The chambers may be adapted for single use of fluid or may be adapted to recirculate fluids which are reused for a number of substrates and then dumped. For example, in one embodiment, a chamber adapted to recirculate fluids comprises a drain which selectively diverts certain fluids to be reused during processing. If the chamber is adapted to recirculate fluids, the fluid lines should be rinsed in order to prevent deposition in and clogging of the lines. Although the embodiments of the chambers have been described with certain elements and features, it is understood that a chamber may have a combination of elements and features from the different embodiments.

The process of depositing the catalytic layer and/or the conductive material layer may include annealing the substrate in a thermal anneal chamber. Thermal anneal process chambers are generally well known in the art, and rapid thermal anneal chambers are typically utilized in substrate processing systems to enhance the properties of the deposited materials. The invention contemplates utilizing a variety of thermal anneal chamber designs, including hot plate designs, heat lamp designs, and furnace designs, to enhance the electroless deposition results. One particular furnace design involves the use of a heated gas in a hot zone of a furnace chamber to anneal the substrate. The furnace chamber further comprises a cold zone. The substrate is transferred to the furnace chamber by placing the substrate on lift pins in the cold zone of the furnace chamber. The substrate is then raised into the hot zone by the lift pins to anneal the substrate. Then, the substrate is lowered back into the cold zone to allow the substrate to cool.

One particular thermal anneal chamber useful for the present invention are the xZ™ chambers available from Applied Materials, Inc., located in Santa Clara, Calif. FIG. 13 shows a schematic cross-sectional view of one embodiment of a rapid thermal anneal chamber. The RTA 900 chamber defines an enclosure 902 and comprises a heater plate 904, a heater 907 and a plurality of substrate support pins 906. The enclosure 902 is defined by a base 908, a sidewall 910 and a top 912. Preferably, a cold plate 913 is disposed below the top 912 of the enclosure. Preferably, a reflector insulator dish 914 is disposed inside the enclosure 902 on the base 908. The reflector insulator dish 914 is typically made from a material that can withstand high temperatures (i.e., greater than about 500° C.), and act as a thermal insulator between the heater 907 and the enclosure 902. The dish 914 may also be coated with a reflective material, such as gold, to direct heat back to the heater plate 904.

One particular thermal anneal chamber useful for the present invention are the xZ™ chambers available from Applied Materials, Inc., located in Santa Clara, Calif. FIG. 13 shows a schematic cross-sectional view of one embodiment of a rapid thermal anneal chamber. The RTA chamber 900 defines an enclosure 902 and comprises a heater plate 904, a heater 907 and a plurality of substrate support pins 906. The enclosure 902 is defined by a base 908, a sidewall 910 and a top 912. Preferably, a cold plate 913 is disposed below the top 912 of the enclosure. Preferably, a reflector insulator dish 914 is disposed inside the enclosure 902 on the base 908. The reflector insulator dish 914 is typically made from a material that can withstand high temperatures (i.e., greater than about 500° C.), and act as a thermal insulator between the heater 907 and the enclosure 902. The reflector insulator dish 914 may also be coated with a reflective material, such as gold, to direct heat back to the heater plate 904.

The heater plate 904 preferably has a large mass compared to the substrate being processed in the system and is preferably fabricated from a material such as silicon carbide, quartz, or other materials that do not react with any ambient gases in the RTA chamber 900 or with the substrate material. The heater 907 typically comprises a resistive heating element or a conductive/radiant heat source and is disposed between the heater plate 904 and the reflector insulator dish 914. The heater 907 is connected to a power source 916 which supplies the energy needed to heat the heater 907. Preferably, a thermocouple 920 is disposed in a conduit 921, disposed through the base 908 and reflector insulator dish 914, and extends into the heater plate 904. The thermocouple 920 is connected to a controller (i.e., the system controller described below) and supplies temperature measurements to the controller. The controller then increases or decreases the heat supplied by the heater 907 according to the temperature measurements and the desired anneal temperature.

The RTA chamber 900 includes a slit valve 922 disposed on the sidewall 910 of the enclosure 902 for facilitating transfers of substrates into and out of the RTA chamber by used of a loading station transfer robot. The slit valve 922 selectively seals an opening 924 on the sidewall 910 of the enclosure that communicates with a loading station.

The substrate support pins 906 preferably comprise distally tapered members constructed from high temperature resistant materials. Each substrate support pin 906 is disposed within a tubular conduit 926, preferably made of a heat and oxidation resistant material, that extends through the heater plate 904. The substrate support pins 906 are connected to a lift plate 928 for moving the substrate support pins 906 in a uniform manner. The lift plate 928 is attached to an to an actuator 930, such as a stepper motor, through a lift shaft 932 that moves the lift plate 928 to facilitate positioning of a substrate at various vertical positions within the RTA chamber. The lift shaft 932 extends through the base 908 of the enclosure 902 and is sealed by a sealing flange 934 disposed around the shaft.

To transfer a substrate into the RTA chamber 900, the slit valve 922 is opened, and the loading station transfer robot extends its robot blade having a substrate positioned thereon through the opening 924 into the RTA chamber. The robot blade of the loading station transfer robot positions the substrate in the RTA chamber above the heater plate 904, and the substrate support pins 906 are extended upwards to lift the substrate above the robot blade. The robot blade then retracts out of the RTA chamber, and the slit valve 922 closes the opening. The substrate support pins 906 are then retracted to lower the substrate to a desired distance from the heater plate 904. Optionally, the substrate support pins 906 may retract fully to place the substrate in direct contact with the heater plate.

Preferably, a gas inlet 936 is disposed through the sidewall 910 of the enclosure 902 to allow selected gas flow into the RTA chamber 900 during the anneal treatment process. The gas inlet 936 is connected to a gas source 938 through a valve 940 for controlling the flow of the gas into the RTA chamber 900. A gas outlet 942 is preferably disposed at a lower portion of the sidewall 910 of the enclosure 902 to exhaust the gases in the RTA chamber and is preferably connected to a relief/check valve 944 to prevent backstreaming of gases from outside of the chamber. Optionally, the gas outlet 942 is connected to a vacuum pump (not shown) to exhaust the RTA chamber to a desired vacuum level during an anneal treatment. The RTA chamber is further described in co-pending U.S. patent application Ser. No. 09/263,126, entitled “Apparatus for Electro Chemical Deposition of Copper Metallization with the Capability of In-Situ Thermal Annealing,” filed on Mar. 5, 1999, which is incorporated herein by reference to the extent not inconsistent with this invention.

FIG. 14 shows a schematic top view of one embodiment of an electroless deposition system platform 200 useful in the deposition of the catalytic layer and electroless deposition of the conductive material layer. The electroless deposition system platform 200 having deposition cells is also described in co-pending U.S. patent application Ser. No. 09/289,074, entitled “Electro-Chemical Deposition System,” filed on Apr. 8, 1999, and in co-pending U.S. patent application Ser. No. 09/263,126, entitled “Apparatus for Electro Chemical Deposition of Copper Metallization with the Capability of In-Situ Thermal Annealing,” filed on Mar. 5, 1999, both which are incorporated herein by reference to the extent not inconsistent with this invention.

The electroless deposition system platform 200 generally comprises a loading station 210, a thermal anneal chamber 211, a mainframe 214, and an electrolyte replenishing system 220. The mainframe 214 generally comprises a mainframe transfer station 216, a spin-rinse dry (SRD) station 212, and six processing cells 240. The mainframe 214 includes a base 217 having cut-outs to support various stations needed to complete the deposition process. An electrolyte replenishing system 220 is positioned adjacent the mainframe 214 and connected to the process cells 240 individually to circulate electrolyte used for the electroless deposition processes. The electroless deposition system platform 200 also includes a power supply station 221 for providing electrical power to the system and a control system 222, typically comprising a programmable microprocessor.

The electroless deposition system platform 200 generally comprises a loading station 210, a thermal anneal chamber 211, a mainframe 214, and an electrolyte replenishing system 220. The mainframe 214 generally comprises a mainframe transfer station 216, a spin-rinse dry (SRD) station 212, and six processing cells 240. The mainframe 214 includes a base 217 having cut-outs to support various stations needed to complete the deposition process. An electrolyte replenishing system 220 is positioned adjacent the mainframe 214 and connected to the processing cells 240 individually to circulate electrolyte used for the electroless deposition processes. The electroless deposition system platform 200 also includes a power supply station 221 for providing electrical power to the system and a control system 222, typically comprising a programmable microprocessor.

A mainframe transfer robot 242 may be disposed in the center of the mainframe 214. The mainframe transfer robot 242 serves to transfer substrates between different stations attached to the mainframe station, including the processing stations and the SRD stations. The mainframe transfer robot 242 includes a plurality of robot arms 2404 independently moveable with respect to one another. The main transfer robot 242 is capable of transferring substrates between different stations attached to the mainframe.

A mainframe transfer robot 242 may be disposed in the center of the mainframe 214. The mainframe transfer robot 242 serves to transfer substrates between different stations attached to the mainframe station, including the processing stations and the SRD stations. The mainframe transfer robot 242 includes a plurality of robot arms 2404 independently moveable with respect to one another. The mainframe transfer robot 242 is capable of transferring substrates between different stations attached to the mainframe.

The SRD station 212 includes one or more SRD modules 236 and one or more substrate pass-through cassettes 238. Preferably, the SRD station 212 includes two SRD modules 236 corresponding to the number of loading station transfer robots 228, and a substrate pass-through cassette 238 is positioned above each SRD module 236. The substrate pass-through cassette 238 facilitates substrate transfer between the loading station 210 and the mainframe 214. The substrate pass-through cassette 238 provides access to and from both the loading station transfer robot 228 and the transfer robot 242 in the mainframe transfer station 216.

The SRD station 212 includes one or more SRD modules 236 and one or more substrate pass-through cassettes 238. Preferably, the SRD station 212 includes two SRD modules 236 corresponding to the number of loading station transfer robots 228, and a substrate pass-through cassette 238 is positioned above each SRD module 236. The substrate pass-through cassette 238 facilitates substrate transfer between the loading station 210 and the mainframe 214. The substrate pass-through cassette 238 provides access to and from both the loading station transfer robot 228 and the mainframe transfer robot 242 in the mainframe transfer station 216.

FIG. 15 shows a schematic top view of another embodiment of an electroless deposition system platform 300 useful in the electroless deposition of a catalytic layer and electroless deposition of a conductive material layer. The electroless deposition system platform 300 generally comprises cassettes 302, an electroless chamber 304 adapted to deposit a catalytic layer (such as the chambers described in reference to FIGS. 2-12), an electroless deposition chamber 306 adapted to deposit a conductive material layer (such as the chambers described in reference to FIGS. 2-12), a SRD chamber 308, and an anneal chamber 310 (such as the chambers described in reference to FIG. 13). One or more transfer robots 312 may be disposed in the center of the system platform 300 for transferring substrates between the different chambers and to and from the cassettes 302.

FIG. 15 shows a schematic top view of another embodiment of an electroless deposition system platform 300 useful in the electroless deposition of a catalytic layer and electroless deposition of a conductive material layer. The electroless deposition system platform 300 generally comprises cassettes 302, an electroless chamber 304 adapted to deposit a catalytic layer (such as the chambers described in reference to FIGS. 2-12), an electroless deposition chamber 306 adapted to deposit a conductive material layer (such as the chambers described in reference to FIGS. 2-12), a SRD chamber 308, and an anneal chamber 310 (such as the chambers described in reference to FIG. 13). One or more transfer robots 312 may be disposed in the center of the deposition system platform 300 for transferring substrates between the different chambers and to and from the cassettes 302.

Method of Electroless Deposition of a Catalytic Layer

The chambers and platforms as described herein may be used to implement various processes. Illustrative processes will now be described. In one embodiment, electroless deposition of the catalytic layer comprises contacting the substrate structure with an aqueous electroless deposition solution comprising colloids comprising 1) noble metal ions, semi-noble metal ions, or combinations thereof, and 2) Group IV metal ions, such as tin ions. In another embodiment, electroless deposition of the catalytic layer comprises contacting the substrate structure with an aqueous electroless deposition solution of Group IV metal ions, such as tin ions, and then contacting the substrate structure with an aqueous electroless deposition solution comprising noble metal ions, semi-noble metal ions, or combinations thereof. Examples of noble metals include gold, silver, platinum, palladium, iridium, rhenium, mercury, ruthenium, and osmium. Preferably, the noble metal used in the present method comprises palladium or platinum, and most preferably the noble metal comprises palladium. Examples of semi-noble metals include iron, cobalt, nickel, copper, carbon, aluminum and tungsten. Preferably, the semi-noble metal used in the present invention comprises cobalt, nickel, or tungsten. Examples of Group IV metals include tin, titanium, and germanium. Preferably, the Group IV metal used in the present method comprises tin.

The noble metal/semi-noble metal (the “noble metal/semi-noble metal” as used herein means noble metal and/or semi-noble metal) and the Group IV metal may be added to the electroless deposition solution as an inorganic and/or organic salt. Examples of salts which may be used include chlorides, bromides, fluorides, fluoborates, iodides, nitrates, and sulfates. Preferably, the metal salts are chlorides, such as palladium chloride (PdCl2), chloroplatinic acid (H2PtCl6), and stannous chloride (SnCl2).

In one embodiment, the ratio of the Group IV metal ions to the noble metal/semi-noble metal ions utilized (such as the ratio of Sn to Pd) in the electroless deposition, whether the Group IV metal and the noble metal/semi-noble metal ions are deposited separately or together as colloids, is between about 1:1 to about 40:1. Preferably, the electroless deposition solution for depositing the catalytic layer is acidic. Acids which may be used include hydrochloric acid (HCl), sulfuric acid (H2SO4), fluoboric acid (HBF4), hydroiodic acid (Hl), and acetic acid (CH3COOH). Preferably, hydrochloric acid is used. The electroless deposition solution for depositing a catalytic layer may also comprise other additives such as surfactants and wetting agents. In one embodiment, the electroless deposition solution for depositing the catalytic layer has an initial pH of less than or equal to about 1. In one particular embodiment, an electroless deposition solution for depositing a catalytic layer comprises between about 0.3 g/L to about 1.4 g/L of Pd; between about 15 g/L to about 60 g/L of Sn or preferably between 25 to about 30 g/L of Sn; and about 20% to about 60% by volume of a strong acid such as HCL or preferably 30% to 40% by volume of a strong acid such as HCL acid. Exemplary electroless deposition solutions for depositing a catalytic layer are available from Enthone-OMI Inc. located in West Haven, Conn.

One embodiment of a method of electroless deposition of a catalytic layer comprises contacting the substrate structure with an electroless deposition solution comprising noble metal ions and/or semi-noble metal ions and Group IV metal ions at a reaction temperature between about 20° C. and about 150° C. For the deposition of palladium and tin, a preferred reaction temperature is between about 20° C. and about 80° C., with a reaction temperature between about 40° C. and about 60° C. being more preferred. The amount of solution used during electroless deposition may vary depending on the electroless deposition apparatus used and the size of the substrate to be processed. In one embodiment, between about 3 ml and about 200 ml of the electroless deposition solution is used for a 200 mm wafer. The reaction temperature refers to the temperature of the solution and/or the substrate since the reaction temperature can be provided by heating the solution, heating the substrate, or heating both the solution and the substrate. The time period in which the substrate is contacted with the electroless deposition solution may vary. For example, an electroless deposition solution comprising a high concentration of noble metal/semi-noble metal ions and Group IV metal ions may be used at a high temperature for a short time period to deposit a catalytic layer to a desired thickness. An electroless deposition solution comprising a low concentration of noble metal/semi-noble metal ions may be used at a low temperature for a long time period to deposit a catalytic layer to the same thickness. In one embodiment, contacting the substrate structure with the electroless deposition solution may be performed for a time period of at least 5 seconds, preferably between about 30 seconds to about 120 seconds. Contacting the substrate structure with the electroless deposition solution may be performed to deposit a catalytic layer having at least a monolayer thickness. In one embodiment, the catalytic layer is deposited to a thickness between about 5 Å to about 100 Å.

Another embodiment of a method of electroless deposition of a catalytic layer comprises contacting the substrate structure with separate electroless deposition solutions of noble metal/semi-noble metal ions and Group IV metal ions. One embodiment of electroless deposition of a catalytic layer utilizing separate electroless deposition solutions comprises first contacting the substrate structure with an electroless deposition solution comprising Group IV metal ions, such as tin ions and, then, contacting the substrate structure with an electroless deposition solution comprising noble metal ions, semi-noble metal ions, or combinations thereof. The substrate may be contacted with an electroless deposition solution comprising Group IV metal ions, such as tin, at a reaction temperature between about 20° C. to about 150° C., preferably between about 20° C. to about 50° C., more preferably between about 20° C. to about 40° C. In one embodiment, between about 3 ml and about 200 ml of the electroless deposition solution is used for a 200 mm wafer. Contacting the substrate structure with the electroless deposition solution comprising Group IV metal ions may be performed for a time period of at least 5 seconds, preferably between about 30 seconds to about 120 seconds. The substrate may optionally then be rinsed with at least one rinsing solution. The rinsing solution may comprise deionized water, hot deionized water, caustic solutions (acid or base solutions), hot caustic solutions, salt solutions, or hot salt solutions. Then, the substrate is contacted with an electroless deposition solution comprising noble metal ions, semi-noble metal ions, or combinations thereof at a reaction temperature between about 20° C. to about 150° C. For the deposition of palladium, a preferred reaction temperature is between about 20° C. and about 80° C., with a reaction temperature between about 40° C. and about 60° C. being more preferred. In one embodiment, between about 3 ml and about 200 ml of the electroless deposition solution is used for a 200 mm wafer. Contacting the substrate structure with the electroless deposition solution comprising noble metal ions, semi-noble metal ions, or combinations there of may be performed for a time period of at least 5 seconds, preferably between about 30 seconds to about 120 seconds. Contacting the substrate structure with the separate electroless deposition solutions may be performed to deposit a catalytic layer comprising a noble metal and/or semi-noble metal and a Group IV metal having at least a monolayer thickness. In one embodiment, the noble metal/semi-noble metal and the Group IV metal have a combined thickness of about 5 Å to about 1000 Å.

After the catalytic layer has been deposited, the catalytic layer may be rinsed with at least one rinsing solution comprising deionized water, hot deionized water, caustic solutions (acid or base solutions), hot caustic solutions, salt solutions, or hot salt solutions. Preferably, hot deionized water is used, preferably at a temperature between from the lower limits of about 40° C. or about 70° C. to the upper limits of about 90° or about 100° C., with a range from any lower limit to any upper limit being Within the scope of the present invention. One preferred range is between about 40° C. to about 90° C. The method may further include rinsing the substrate with an acidic solution after rinsing the substrate with deionized water, such as hot deionized. One example of an acidic solution for rinsing the substrate comprises a solution having between about 5% to about 20% by volume of a strong acid, such as HCl. It is believed that the acidic solution acts to form tin hydroxides which may be rinsed away more easily. In another embodiment, instead of a rinse with deionized water and then a rinse with an acidic solution, the method may include a rinse with an acidic solution followed by a rinse with deionized water, such as hot deionized water. Then, the catalytic layer may be further rinsed with a base solution to prepare the substrate for deposition of a conductive material layer utilizing a basic electroless deposition solution.

The method of depositing the catalytic layer may include applying a bias to a conductive portion of the substrate structure (i.e. a seed layer), such as a DC bias, during the electroless deposition of the catalytic layer. It is believed that the bias helps to remove trapped hydrogen gas formed in the catalytic layer during the deposition process.

The method may include annealing (i.e., heating) the catalytic layer at a temperature between about 100° C. to about 400 C, preferably between about 100° C. to about 300° C. The anneal may be performed in a vacuum, preferably at a pressure lower than 1 mtorr. Alternatively, the anneal may be performed in a gas atmosphere, such as a gas atmosphere of one or more noble gases (such as Argon, Helium), nitrogen, hydrogen, and mixtures thereof. In one embodiment, the anneal is performed for a time period of at least about 1 minute. In another embodiment, the anneal is performed for a time period of about 1 to about 10 minutes. Preferably, the anneal is conducted by a rapid thermal anneal process. It is believed that annealing the substrate promotes adhesion of the catalytic layer over the barrier layer, over the seed layer, or over the substrate structure. It is also believe that the anneal helps remove hydrogen formed in the catalytic layer during the deposition.

The method of depositing the catalytic layer may be performed in the electroless deposition chamber or chambers as described above. In one embodiment, the catalytic layer may be annealed in an electroless deposition chamber or may be annealed in a separate anneal chamber. In another embodiment, the rinse of the catalytic layer may be performed in an electroless deposition chamber or may be performed in a separate chamber.

Without limitation to a particular theory, it is believed that the mechanism in which the catalytic layer catalyzes subsequent electroless deposition of a conductive material layer, such as a copper layer, involves the formation of a metal complex of the noble metal/semi-noble metal and the Group IV metal, such as a Pd/Sn complex, whether the noble metal/semi-noble metal and the Group IV metal are deposited together or separately. The noble metal/semi-noble metal and the Group IV metal complex is believed to be formed in the processing solution as a colloid with a central portion comprising mostly of the noble metal/semi-noble metal and with an outer shell comprising a Group IV layer, such as a tin layer. Adhesive properties of the outer shell attach the colloid to the substrate. The charge of the outer shell prevents aggregation of the colloids permitting individual attachment of the colloid particles to the substrate. It is believed that the reaction temperature at which the catalytic layer is deposited helps control the rate of deposition. If the reaction temperature is too low, then the rate of deposition of the catalytic layer is too slow and would lower through-put of substrates through the system. If the reaction temperature is too high, then the rate of deposition of the catalytic layer is too fast, which may cause impurities to be incorporated into the catalytic layer during deposition.

Furthermore, it is believed that the metal complex core must be exposed for subsequent electroless deposition of a conductive material layer. It is believed that a hot deionized rinse followed by an acidic rinse is effective in exposing the core by rinsing away some of the Group IV metal, such as Sn, surrounding the noble metal/semi-metal core. Alternatively or additionally, it is believe that a hot deionized rinse followed by an acidic rinse rinses away both some of the Group IV metal and the noble metal/semi-noble metal which redeposit on the substrate to form an active surface for subsequent electroless deposition of a conductive material layer.

Methods of Electroless Deposition of a Conductive Material Layer

The conductive material layer 26 (FIGS. 1A-1D), such as a copper layer, may be deposited over the catalytic layer 24 (FIGS. 1A-D) by contacting the substrate structure with an electroless deposition solution comprising an aqueous solution of conductive metal ions and a reducing agent. In one embodiment, the solution for electroless deposition of copper includes a copper salt, such as copper sulfate (CuSO4) copper chloride, copper iodide, as a source of the copper to be deposited. Because copper tends to precipitate above a pH of 3.5, the solution can include a complexing agent or chelating agent to form a metal complex and to prevent the precipitation of copper hydroxide. Examples of complexing or chelating agents include, tartate, EDTA, amines, aminopolyacetic acids, meso-erithritol, glycolic acid, and citric acid. The solution may also include a reducing agent to reduce the metal ions. Examples of reducing agents include formaldehyde, glycolic acid, glyoxylic acid, ascorbic acid, and sodium hypophosphate. The solution may also include pH adjusters. Examples of pH adjustors include sodium hydroxide, potassium, and ammonium hydroxides. The solution may also include a stabilizer, such as mercaptobenzothiazole, thiorea, cynide, vanadium pentoxide, methyl butynol, and selenium compounds. The solution may include other additives to improve deposit properties (such as ductility improvement). Example of additives include sodium cyanide, vanadium pentoxide, sodium aresenite, and polyethylene glycol. A typical chemical reaction among the principal components can be expressed as:
Cu2++2HCHO+4OH→Cu°(s)+H2(g)+2H2O+2HCOO in the presence of a catalytic surface
The reaction thus delivers two electrons to the copper ions and deposits copper on a catalytic surface in which hydrogen gas is produced as a byproduct.

The conductive material layer 26 (FIGS. 1A-1D), such as a copper layer, may be deposited over the catalytic layer 24 (FIGS. 1A-D) by contacting the substrate structure with an electroless deposition solution comprising an aqueous solution of conductive metal ions and a reducing agent. In one embodiment, the solution for electroless deposition of copper includes a copper salt, such as copper sulfate (CuSO4) copper chloride, copper iodide, as a source of the copper to be deposited. Because copper tends to precipitate above a pH of 3.5, the solution can include a complexing agent or chelating agent to form a metal complex and to prevent the precipitation of copper hydroxide. Examples of complexing or chelating agents include, tartate, EDTA, amines, aminopolyacetic acids, meso-erithritol, glycolic acid, and citric acid. The solution may also include a reducing agent to reduce the metal ions. Examples of reducing agents include formaldehyde, glycolic acid, glyoxylic acid, ascorbic acid, and sodium hypophosphate. The solution may also include pH adjusters. Examples of pH adjustors include sodium hydroxide, potassium, and ammonium hydroxides. The solution may also include a stabilizer, such as mercaptobenzothiazole, thiorea, cynide, vanadium pentoxide, methyl butynol, and selenium compounds. The solution may include other additives to improve deposit properties (such as ductility improvement). Examples of additives include sodium cyanide, vanadium pentoxide, sodium aresenite, and polyethylene glycol. A typical chemical reaction among the principal components can be expressed as:
Cu2++2HCHO+4OH→Cu°(s)+H2(g)+2H2O+2HCOO in the presence of a catalytic surface
The reaction thus delivers two electrons to the copper ions and deposits copper on a catalytic surface in which hydrogen gas is produced as a byproduct.

One exemplary solution includes 0.02 mol/liter to about 0.4 mol/liter of copper sulfate, 0.04 mol/liter to about 0.2 mol/liter of ethylenediaminetetraacetic acid (EDTA) as a complexing agent, 0.45 mol/liter to about 0.6 mol/liter of sodium hydroxide to supply the OH to achieve a pH preferably above about 11, 0.06 mol/liter to about 1.0 mol/liter of formaldehyde (HCHO) as the reducing agent. In one embodiment, the pH of the solution is adjusted to a pH of above about 11. In another embodiment, to resolve the integration issues of subsequent acidic electroplating baths, the electroless deposition solution is adjusted to an acidic pH for the subsequent electroplating of a conductive material over the conductive material deposited by electroless deposition.

In one embodiment, contacting the substrate structure with an electroless copper solution may be performed at a reaction temperature between about 20° C. and about 100° C., preferably, between about 40° C. to about 80° C. The amount of solution used during electroless deposition may vary depending on the electroless deposition apparatus used and the size of the substrate to be processed. In one embodiment, between about 10 ml and about 400 ml are used for a 200 mm wafer. Contacting the substrate structure with an electroless copper solution may be performed for a time period of at least 5 seconds. Contacting the substrate structure with an electroless copper solution may be performed for a time period between about 45 seconds to about 120 seconds to deposit a copper layer to a thickness of less than 500 Å if used as a seed layer, and preferably between about 50 Å to about 300 Å. Alternatively, the electroless copper deposition may be performed to deposit a copper layer to fill a feature, such as to a thickness of up to one micron or more. If used to fill a feature, the electroless copper solution may further comprise additives such as accelerators, suppressors, and levelers, to aid in bottom-up filling of the feature. After deposition, the surface of the substrate may be rinsed, such as a deionized water rinse to remove the remaining electroless deposition solution, and then dried. The rinse of the conductive layer may be performed in an electroless deposition chamber or may be performed in a separate chamber, such as SRD chamber.

The method of depositing the conductive layer may include applying a bias to the substrate structure, such as a DC bias, during the electroless deposition of the conductive layer. It is believed that the bias helps to remove trapped hydrogen gas formed in the conductive layer during the deposition process. In one embodiment, a power supply is coupled to a conductive portion of the substrate, such as a PVD copper seed layer, to bias the substrate structure. In one embodiment, a positive pole of a power supply may be coupled to the substrate and a negative pole of the power supply may be coupled to an electrode in contact with the electroless copper solution on the substrate. The positive pole provides a positive bias to the substrate structure and a negative bias to the electrode. This bias helps remove positive hydrogen ions from the electroless deposited copper layer since the positive substrate structure repels the positive hydrogen ions and the negative bias of the electrode attracts the positive hydrogen ions. In one embodiment, the power supply provides a cell potential of less than +0.337 V to prevent deplating of the copper layer. In another embodiment, the polarity of the power supply may be flipped back and forth to prevent deplating of the conductive copper layer.

In another embodiment, a negative pole of a power supply may be coupled to the substrate and a positive pole of the power supply may be coupled to an electrode in contact with the electroless copper solution. A bias may be applied to the substrate structure to help “jump start” the copper electroless deposition process. The power supply may provide a cell potential of less than or greater than +0.337 V in order to “jump start” the copper electroless deposition process. In one embodiment, the bias may be applied for a short period of time during the electroless deposition process, for example between about a millisecond or less to about one second. In another embodiment, the bias may be applied for a longer period of time, for example between greater than about one second to about the duration of the electroless deposition process.

The method may further include annealing (i.e. heating) the substrate at a temperature between about 100° C. to about 400° C., preferably between about 100° C. to about 300° C. The anneal may be performed in a vacuum, preferably at a pressure lower than 1 mtorr. Alternatively, the anneal may be performed in a gas atmosphere, such as a gas atmosphere of a noble gas, nitrogen, hydrogen, and mixtures thereof. In one embodiment, the anneal is performed for a time period of at least about 1 minute. In another embodiment, the anneal is performed for a time period of about 1 to about 10 minutes. Preferably, the anneal is conducted by a rapid thermal anneal process.

In one embodiment, the anneal is preformed in a two step process. First, the substrate is annealed in the absence of a hydrogen atmosphere to remove hydrogen formed in the copper conductive layer. Second, the anneal is performed in a hydrogen atmosphere prior to removal from the chamber in order reduce the amount of copper oxides formed from the copper conductive layer.

The anneal may be performed in addition to, or alternately to, the anneal after deposition of the catalytic layer. Preferably, an anneal of the conductive layer is performed rather than an anneal of the catalytic layer. It is believed that annealing the substrate promotes adhesion of the conductive layer. It is further believed that the anneal helps to remove trapped hydrogen gas in the electroless copper layer during the deposition. In addition, it is believed that removing trapped hydrogen gas lowers the resistivity of the conductive material layer by removing the hydrogen voids in the conductive material layer. It is also believed that the anneal promotes the recrystallization of copper conductive layer.

Electroplating of a Catalytic Layer

The catalytic layer may also be deposited by electroplating. One embodiment of an apparatus capable of depositing a catalytic layer by an electroplating process is an ELECTRA CU™ ECP platform, available from Applied Materials, Inc. of Santa Clara, Calif. The electroplating apparatus is more fully described in U.S. patent application Ser. No. 09/289,074, entitled “Electro-Chemical Deposition System” filed Apr. 8, 1999, which is incorporated by reference to the extent not inconsistent with this invention. Electroplating involves passing an electric current between an anode and a substrate acting as the cathode in an electrochemical bath containing metal ions to deposit a metal or an alloy layer on the substrate.

The catalytic layer deposited by electroplating may comprise a noble metal, a semi-noble metal, alloys thereof, or combinations thereof. Preferably, the catalytic layer deposited by electroplating comprises cobalt, palladium, platinum, nickel, tungsten, alloys thereof, and combinations thereof. One embodiment of the catalytic layer comprising an alloy includes cobalt-nickel, cobalt-tungsten, and cobalt-palladium. Electroplating solution typically comprises metal ions of the metal desired to be plated as a metal salt, such as a metal sulfate, a metal chloride, a metal sulfamate, and combinations thereof. Typically, the electroplating solution also comprises acids, salts, other electrolytes, and other additives. Electrodeposition of the catalytic layer may further include annealing the substrate.

Chemical Vapor Deposition of a Catalytic Layer

The catalytic layer may also be deposited by chemical vapor deposition. An example of a chamber capable of chemical vapor deposition of a catalytic layer is a CVD TxZ™ chamber, available from Applied Materials, Inc. of Santa Clara, Calif. Generally, chemical vapor deposition involves flowing in a metal precursor with the use of a carrier gas into the chamber. The metal precursor chemically reacts to deposit a metal film on the substrate surface. Chemical vapor deposition may further include utilizing a plasma to aid in the deposition of the metal film on the substrate surface. The catalytic layer deposited by chemical vapor deposition may comprise a noble metal, a semi-noble metal, alloys thereof, or combinations thereof. Chemical vapor deposition of the catalytic layer may further include annealing the substrate.

Electroplating of a Conductive Material Layer

In one embodiment, the conductive material layer 26, such as a copper layer, may be deposited by electroplating over the catalytic layer 24. In another embodiment, the conductive material layer 26 may be deposited by electroless deposition of a conductive material over the catalytic layer followed by electroplating of a conductive material.

An apparatus capable of depositing a conductive material by an electroplating process is an Electra Cu™ ECP platform. The electroplating apparatus is more fully described in U.S. patent application Ser. No. 09/289,074, entitled “Electro-Chemical Deposition System” filed Apr. 8, 1999, which is incorporated by reference to the extent not inconsistent with this invention. Electroplating involves the deposition of a layer of conductive material on a substrate by passing an electric current between an anode and the substrate acting as the cathode in an electrochemical bath containing ions of the conductive material.

An exemplary electroplating chemistry for depositing a copper layer in a system containing a consumable anode is described in co-pending U.S. application Ser. No. 09/245,780, filed on Feb. 5, 1999, entitled, “Electrodeposition Chemistry For Improved Filling Of Apertures”, and is incorporated herein by reference to the extent not inconsistent with this invention. An exemplary electroplating method is also described in U.S. Pat. No. 6,113,771, entitled “Electro Deposition Chemistry”, issued Sep. 5, 2000, and is incorporated herein by reference to the extent not inconsistent with this invention.

In general, the method of electroplating the conductive material layer over a substrate structure comprises connecting the substrate structure to a negative terminal of an electrical power source, disposing the substrate structure and an anode in a solution comprising metal ions and a supporting electrolyte, and electrodepositing the metal onto the substrate structure from the metal ions in the solution.

Chemical Vapor Deposition of a Conductive Material Layer

In one embodiment, the conductive material layer 26, such as a copper layer, may be deposited by chemical vapor deposition over the catalytic layer 24. In another embodiment, the conductive material layer 26 may be deposited by electroless deposition of a conductive material over the catalytic layer followed by chemical vapor deposition of a conductive material.

An apparatus capable of depositing a conductive material by a chemical vapor deposition process is a CVD Cu chamber available from Applied Materials, Inc. of Santa Clara, Calif. An exemplary chemical vapor deposition process for depositing a copper layer is described in U.S. Pat. No. 6,110,530, entitled “CVD method of depositing copper films by using improved organocopper precursor blend,” issued Aug. 29, 2000, and is incorporated herein by reference to the extent not inconsistent with this invention.

Generally, chemical vapor deposition of a conductive material layer involves flowing in a metal precursor with the use of a carrier gas, such as argon, into the chamber. Examples of a copper precursor include copper+2(hfac)2, Cu+2 (fod)2, and complex copper+1hfac, TMVS (fod being an abbreviation for heptafluoro dimethyl octanediene, hfac being an abbreviation for the hexafluoro acetylacetonate anion, and TMVS being an abbreviation for trimethylvinylsilane). The metal precursor chemically reacts to deposit a metal film on the substrate surface. Chemical vapor deposition may further include utilizing a plasma to aid in the deposition of the metal film on the substrate surface.

EXAMPLES

Various trials were conducted in depositing a catalytic layer and a conductive material layer. Some of the examples are set forth below.

Example A

A 700 Å PVD copper seed layer was deposited over substrate structures having 0.2 micron features having an aspect ratio of about 5 to about 1. A catalytic layer comprising tin and palladium was deposited by electroless deposition over the PVD copper seed layer at a reaction temperature of about 40° C. for a time period of 30 seconds, 60 seconds, 120 seconds, or 240 seconds. The catalytic layer was deposited utilizing an electroless deposition solution comprising 0.7 g/L of Pd, 25-30 g/L of Sn, and 30%-40% of HCl by volume. Scanning electron microscope photographs of the substrates showed that for catalytic layers deposited for a time period of 120 seconds or 240 seconds, the acidic electroless deposition solution of the catalytic layer would begin to dissolve and create holes in the PVD copper seed layer. Catalytic layers deposited for a time period of 30 seconds or 60 seconds showed good step coverage of the features without creating holes in the PVD copper seed layer.

Example B

A thin PVD copper seed layer was deposited over substrate structures having 0.2 micron features having an aspect ratio of about 5 to about 1. A catalytic layer comprising tin and palladium was deposited by electroless deposition over the thin PVD copper seed layer for a time period of 30 seconds at a reaction temperature of room temperature, 40° C., 60° C., or 80° C. The catalytic layer was deposited utilizing an electroless deposition solution comprising 0.7 g/L of Pd, 25-30 g/L of Sn, and 30%-40% of HCL. Scanning electron microscope photographs of the substrates showed that for catalytic layers deposited at room temperature the catalytic layer had a very rough surface.

Example C

A thin PVD copper seed layer was deposited over substrate structures having 0.2 micron features having an aspect ratio of about 5 to about 1. A catalytic layer comprising tin and palladium was deposited by electroless deposition over the thin PVD copper seed layer for a time period of 30 seconds at a reaction temperature of 60° C. The catalytic layer was deposited utilizing 100 ml of an electroless deposition solution comprising 0.7 g/L of Pd, 25-30 g/L of Sn, and 30%-40% of HCl by volume. In some trials, an additional 5 ml, 10 ml, or 20 ml of concentrated HCl was added to the 100 ml of electroless deposition solution. Scanning electron microscope photographs showed that there was not much impact to the copper seed layer between catalytic layers deposited with no additional HCl and catalytic layers deposited with an additional 5 ml, 10 ml, or 20 ml of HCl.

Example D

A thin PVD copper seed layer was deposited over substrate structures having 0.2 micron features having an aspect ratio of about 5 to about 1. A catalytic layer comprising tin and palladium was deposited by electroless deposition over the PVD copper seed layer at a reaction temperature of about 40° C. for a time period of 30 seconds utilizing an electroless deposition solution comprising 0.7 g/L of Pd, 25-30 g/L of Sn, and 30%-40% of HCl by volume. A conductive material layer was deposited by electroless deposition over the catalytic layer at a reaction temperature of 60° C. for a time period of 30 seconds, 60 seconds, or 120 seconds utilizing an electroless deposition solution comprising copper ions. Scanning electron microscope photographs showed that the conductive material layer was discontinuous for conductive material layers deposited for a time period of 30 seconds or 60 seconds. Conductive material layers deposited for 120 seconds were continuous.

Example E

A TaN barrier layer was deposited over a substrate. A thin PVD copper seed layer was deposited over the barrier layer. A catalytic layer comprising tin and palladium was deposited by electroless deposition over the PVD copper seed layer at a reaction temperature of about 60° C. for a time period of 15 seconds, 30 seconds, 45 seconds, or 60 seconds. The catalytic layer was deposited utilizing an electroless deposition solution comprising 0.7 g/L of Pd, 25-30 g/L of Sn, and 30%-40% of HCl by volume. A copper conductive material layer was deposited over the catalytic layer by electroless deposition at 60° C. for 120 seconds. The atomic concentration of elements at certain depths of the deposited films were measured utilizing auger electron spectroscopy. For a copper conductive material layer deposited over a catalytic layer deposited for 15 seconds, the atomic concentration of Pd and Sn was high at the surface of the film stack indicating that the catalytic layer had a rough surface. For a copper conductive material layer deposited over a catalytic layer deposited for 30 seconds, 45 seconds, or 60 seconds, the concentration of Pd and Sn was low at the surface of the film stack indicating a smooth catalytic layer. Without limitation to any particular theory, it is believed that a certain amount of time is necessary for the deposition of a catalytic layer to allow the Pd/Sn particles to coalesce to form a smooth surface.

Example F

A 250 Å Ta barrier layer was deposited over substrate structures having sub 0.2 micron features having aspect ratios of greater than 6:1, 8:1, or 10:1. A 400 Å PVD Cu seed layer was deposited over the barrier layer. A Pd/Sn catalytic layer was deposited by electroless deposition at 40° C. for 30 seconds over the Cu seed layer. A copper conductive material layer was deposited over the catalytic layer by electroless deposition at 60° C. for 120 seconds. Scanning electron microscope photographs showed good step coverage of the electroless deposited copper conductive material layers over sub-micron features.

Example G

A Pd/Sn catalytic layer was deposited by electroless deposition over substrate structures having sub 0.2 micron features having an aspect ratio of greater than about 6 to 1. A copper conductive material layer was deposited by electroless deposition over the catalytic layer. A second copper conductive material layer was deposited over the copper material layer by electroplating. Scanning electron microscope photographs showed that the features were filled with copper conductive material without any apertures or seams.

While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

1. A substrate processing chamber adapted to perform an electroless deposition procession a substrate, comprising:

a fluid processing chamber having a processing region;
a substrate support positioned in the processing region having a substrate receiving surface;
an electroless fluid source adapted to deliver an electroless processing fluid to a substrate retained on the substrate receiving surface; and
an energy source that is adapted to deliver thermal energy to the electroless processing fluid.

2. The apparatus of claim 1, further comprising an evaporation shield that is positioned proximate to the substrate receiving surface, wherein the energy source is in communication with a surface of the evaporation shield.

3. The apparatus of claim 2, wherein the energy source is a heating element, a heat lamp, or combination thereof.

4. The apparatus of claim 1, wherein the energy source is in thermal communication with the substrate support.

5. The apparatus of claim 1, wherein the energy source is in thermal communication with the electroless fluid source.

6. A substrate processing chamber adapted to perform an electroless deposition process on a substrate, comprising:

a fluid processing chamber having a processing region;
a substrate support positioned in the processing region having a substrate receiving surface;
a heating element adapted to heat a substrate that is retained on the substrate receiving surface; and
an electroless fluid source adapted to deliver an electroless processing fluid to the substrate retained on the substrate receiving surface.

7. The apparatus of claim 6, wherein the substrate support rotates.

8. The apparatus of claim 6, wherein the substrate support further comprises:

a vacuum groove formed in the substrate receiving surface;
a fluid seal retained on the substrate receiving surface that forms a chucking region which contains the vacuum groove; and
a vacuum generating device which is in communication with the vacuum groove, the fluid seal and the chucking region.

9. The apparatus of claim 6, further comprising a lip formed on the substrate support, wherein the lip is adapted to retain the electroless processing fluid on a substrate positioned on the substrate receiving surface.

10. The apparatus of claim 6, further comprising two or more catch cups mounted in the fluid processing chamber, wherein the catch cups are disposed in a processing chamber substantially radially outwards from the substrate support.

11. The apparatus of claim 6, wherein the fluid processing chamber further comprises one or more walls that are adapted to form a sealed processing environment.

12. A substrate processing chamber adapted to perform an electroless deposition process on a substrate, comprising:

a fluid processing chamber having a processing region;
a substrate support positioned in the processing region having a substrate receiving surface;
an electroless fluid source adapted to deliver an electroless processing fluid to a substrate retained on the substrate receiving surface;
a heat element that is adapted to deliver energy to the substrate support; and
a fluid heater adapted to heat the electroless processing fluid delivered from the electroless fluid source.

13. A substrate processing chamber adapted to perform an electroless deposition process, comprising:

a fluid processing chamber having a processing region;
a substrate support positioned in the processing region having a substrate receiving surface;
two or more fluid sources that are adapted to deliver two or more chemical processing solutions that are combined to form an electroless processing solution;
a nozzle that is adapted to deliver the electroless processing solution to a substrate positioned on the substrate receiving surface; and
a fluid heater that is adapted to heat the electroless processing fluid and is positioned between the two or more fluid sources and the nozzle.

14. The apparatus of claim 13, wherein the substrate support is adapted for face-up processing.

15. The apparatus of claim 13, wherein the substrate support is adapted to rotate.

16. The apparatus of claim 13, wherein the two or more fluid sources further comprise at least the following:

a first fluid source adapted to deliver a first chemical processing solution that contains a metal ion; and
a second fluid source adapted to deliver a second chemical processing solution that contains a reducing agent.

17. The apparatus of claim 13, wherein the fluid processing chamber further comprises one or more walls that are adapted to form a sealed processing environment.

18. A substrate processing chamber adapted to perform an electroless deposition process on a substrate, comprising:

one or more walls that form a sealed fluid processing chamber that has a processing region;
a substrate support positioned in the processing region having a substrate receiving surface;
a motor that is adapted to rotate the substrate support;
a fluid source adapted to deliver a processing fluid to the substrate retained on the substrate receiving surface;
two or more catch cups positioned in the fluid processing chamber, wherein the catch cups are disposed in a fluid processing chamber substantially radially outwards from the substrate support; and
a substrate support lift adapted to position the substrate support in a processing position relative to each of the two or more catch cups.

19. A substrate processing chamber adapted to perform an electroless deposition process on a substrate, comprising:

one or more walls that form a sealed fluid processing chamber that has a processing region;
a substrate support positioned in the processing region having a substrate receiving surface;
two or more fluid sources that are adapted to deliver two or more chemical processing solutions that are combined to form an electroless processing solution, wherein the two or more fluid sources comprise; a first fluid source adapted to deliver a first chemical processing solution that contains a metal ion; and a second fluid source adapted to deliver a second chemical processing solution that contains a reducing agent;
a nozzle that is adapted to deliver the electroless processing solution to a substrate positioned on the substrate receiving surface; and
a energy source adapted to maintain a desired temperature on a substrate positioned on the substrate receiving surface during an electroless plating process.

20. The apparatus of claim 19, further comprising an evaporation shield that is positioned proximate to the substrate receiving surface, wherein a surface of the evaporation shield is in communication with the energy source.

21. The apparatus of claim 19, wherein the energy source is adapted to deliver energy to the substrate receiving surface.

22. The apparatus of claim 19, wherein the energy source is adapted to deliver energy to the electroless processing fluid.

23. The apparatus of claim 19, wherein one of the one or more walls further comprises an opening that is sealable, wherein the opening is adapted to provide an external robot access to the processing region of the chamber.

24. The apparatus of claim 19, further comprising:

an electrical contact that is adapted to make electrical communication with a surface of a substrate that is positioned on the substrate support;
an electrode that is adapted to make contact with a fluid that is positioned on the substrate surface; and
a power supply adapted to bias the electrical contact relative to the electrical contact.

25. The apparatus of claim 19, further comprising:

a cover that is positioned over a substrate that is positioned on the substrate receiving surface, wherein the cover comprises: one or more walls that form an evaporation containing region adjacent to a surface of a substrate positioned on the substrate support; and a port formed in one of the one or more walls that is in fluid communication with the nozzle and the evaporation containing region.

26. A substrate processing chamber adapted to perform an electroless deposition process on a substrate, comprising:

a fluid processing chamber;
a substrate support having a substrate receiving surface;
an evaporation shield comprising: a lower surface; a recessed area adjacent to the lower surface; a membrane disposed on the lower surface to form a plenum between the membrane and the recessed area, the membrane having a first surface and a second surface; a fluid inlet port that is in communication with the lower surface; and an outer surface that is adjacent to the lower surface, wherein the outer surface is substantially the same diameter or size as a substrate; and
a gap formed between the lower surface and a substrate that is positioned on the substrate receiving surface.

27. The apparatus of claim 26, wherein the evaporation shield further comprises embedded heating elements or heat lamps that are adapted to heat the lower surface.

28. The apparatus of claim 26, wherein the gap formed between the lower surface and a substrate that is positioned on the substrate receiving surface is between about 0.5 mm and about 4 mm.

Patent History
Publication number: 20050199489
Type: Application
Filed: Mar 25, 2005
Publication Date: Sep 15, 2005
Applicant:
Inventors: Joseph Stevens (San Jose, CA), Dmitry Lubomirsky (Cupertino, CA), Ian Pancham (San Francisco, CA), Donald Olgado (Palo Alto, CA), Howard Grunes (Santa Clara, CA), Yeuk-Fai Mok (San Francisco, CA)
Application Number: 11/090,919
Classifications
Current U.S. Class: 204/275.100; 156/345.510