Protective coating on a substrate and method of making thereof

-

Disclosed herein is a crack-free protective coating comprising at least one of aluminum nitride, aluminum oxide, aluminum oxynitride or combinations thereof. Disclosed herein too is a method for making an article comprising disposing a protective coating comprising at least one of aluminum nitride, aluminum oxide, aluminum oxynitride or combinations thereof upon a substrate comprising pyrolytic boron nitride, pyrolytic graphite and/or carbon doped boron nitride.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS REFERENCE TO RELATED APPLICATION

This application claims the benefit of U.S. Provisional Application Ser. No. 60/586059, filed Jul. 7, 2004.

BACKGROUND

In the manufacture of computer integrated circuits (e.g., computer chips) it is desirable to have an ability to selectively deposit and remove layers of material. Various articles are used to apply these thin films to silicon wafers. They include heating elements, electrostatic chucks, wafer carriers, or the like.

During wafer coating, some of the materials that are applied to the wafer or chip also deposit on the equipment in the deposition chamber. This requires periodic cleaning of the equipment, which is commonly done using a high energy gas plasma. Aggressive cleaning agents generally use a halogen-bearing gas such as nitrogen trifluoride (NF3) to produce a fluorine plasma, which cleans the chamber but may simultaneously attack the components of the equipment causing erosion. This erosion limits the life of the components and the equipment. It is therefore desirable to extend the service life of components and equipment by the use of a durable protective coating.

Japanese Published Patent Application No. JP162123094A2 discloses susceptors employing a coating layer of AlN formed by a thermal chemical vapor deposition (“CVD”) method, on a substrate of high purity carbon based material. Japanese Published Patent Application No. H06-061335 discloses an electrostatic chuck having a protective coating layer comprising AlN, Al2O3, AlON, deposited by methods including sputtering, ion plating and CVD methods. In the protective coating layers of the prior art, there is much cracking on the coating thus typically resulting in an etch rate of exceeding 5,000 Angstroms per minute (/min).

As known in the art, the in-film or mechanical stress is a force in the plane of a coating film such as AlN, acting per unit area of the film cross section. The mechanical stress may be compressive or tensile in character. Thermal CVD coatings are not believed to be under compressive stress, and therefore fail rapidly when exposed to the harsh semiconductor manufacturing environment. The compressive stress prevents the formation of cracks thereby increasing the useful life of the article.

It is desirable for protective coating layers of equipment used in corrosive environment to strongly adhere to the underlying substrate. Thermal CVD AlN coatings in the prior art are not known to have good adhesion to the underlying pyrolytic graphite or boron nitride substrates.

SUMMARY

This disclosure relates to a protective coating on a substrate and method of making thereof. In one embodiment, the invention relates to a protective coating comprising at least one of aluminum nitride, aluminum oxide, aluminum oxynitride or combinations thereof, wherein the protective coating is under a compressive stress of greater than or equal to about 50 kilograms per square centimeter when disposed upon a substrate.

The invention further relates to a method for making an article comprising disposing a protective coating comprising at least one of aluminum nitride, aluminum oxide, aluminum oxynitride or combinations thereof upon a substrate comprising pyrolytic boron nitride, pyrolytic graphite and/or carbon doped boron nitride; wherein the protective coating is under a compressive stress of greater than or equal to about 50 kilograms per square centimeter.

Lastly, the invention relates to articles comprising the aforementioned protective coating, and articles manufactured by the aforementioned method of making the protective coating.

BRIEF DESCRIPTION OF THE FIGURES

FIG. 1 depicts one embodiment of a heating element 10 coated with the protective coating;

FIG. 2 depicts a second embodiment of a heating element 20 coated with the protective coating;

FIG. 3 depicts an embodiment of an electrostatic chuck 40;

FIG. 4 is an X-ray diffraction pattern of an AlN coating deposited by thermal CVD, showing that that the AlN coating is highly crystalline;

FIG. 5 shows two pictures of the AlN coating of Example 1. At left is a transmission electron microscopy (TEM) micrograph image showing nanocrystalline AlN grains. At right is an electron diffraction pattern. The bright, diffuse ring in the diffraction pattern suggests the presence of an amorphous component to the microstructure;

FIG. 6 is a high resolution TEM micrograph of the AlN coating of Example 1. The size marker represents 5 nanometers;

FIG. 7 is a depiction of one exemplary embodiment wherein the cathode and the substrate graphite are in electrical communication with each other during ion plating. This facilitates the coating of vertical surfaces on an article;

FIG. 8 is an X-ray diffraction pattern for a silicon wafer;

FIG. 9 is an X-ray diffraction pattern for a protective coating comprising amorphous AlN deposited by ion plating on a silicon wafer; and

FIG. 10 is an X-ray diffraction pattern of a sintered AlN coating. The diffraction pattern shows numerous peaks due to the highly crystalline nature of sintered AIN.

DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS

As used herein, the terms “first,” “second.” and the like do not denote any order or importance, but rather are used to distinguish one element from another, and the terms “the”, “a” and “an” do not denote a limitation of quantity, but rather denote the presence of at least one of the referenced item. Furthermore, all ranges disclosed herein are inclusive of the endpoints and are independently combinable.

In one embodiment, the invention relates to articles comprising protective coatings that can be advantageously used in harsh semiconductor manufacturing environments that contain ammonia, hydrogen and halogens at elevated temperatures. These coated articles are advantageously used as electrostatic chucks, heater elements and wafer carriers during the manufacture of integrated circuits, semiconductors, silicon wafers, chemical compound semiconductor wafers, liquid crystalline display devices and their glass substrates, or the like.

As used herein, approximating language may be applied to modify any quantitative representation that may vary without resulting in a change in the basic function to which it is related. Accordingly, a value modified by a term or terms, such as “about” and “substantially,” may not to be limited to the precise value specified, in some cases. In at least some instances, the approximating language may correspond to the precision of an instrument for measuring the value.

As used herein, “substrate” or “substrates” may be used interchangeably with “surface” or “surfaces.”

As used herein, “protective coating” layer may be used interchangeably with “coating layer” or “coating film,” or “protective layer,” or “protective coating layer.”

Coating Characteristics The protective coating comprises at least one of AlN, AlON, Al2O3, or combinations thereof. In one embodiment, the protective coating layer is a single layer of AlN, AlON, Al2O3, or combinations thereof. In another embodiment, it is a multi-layer of multiple coatings of the same material, e.g., AlN, AlON, Al2O3, etc., or multiple different layers of AlN, AlON, etc., coated in succession.

In one embodiment, the coating is substantially amorphous. The protective coatings can have an amorphous content of greater than or equal to about 10 weight percent (wt %), based upon the total weight of the protective coating. In one embodiment, the protective coating has an amorphous content of greater than or equal to about 50 weight percent (wt %), based upon the total weight of the protective coating. In another embodiment, the protective coating has an amorphous content of greater than or equal to about 90 weight percent (wt %), based upon the total weight of the protective coating. In a fourth embodiment, the protective coating is completely amorphous. When crystallites are present in the amorphous coating, it is desirable for the crystallite size to be less than or equal to about 10 nanometers. In one embodiment, it is desirable for the crystallite size to be less than or equal to about 5 nanometers.

In one embodiment, the protective coatings are deposited upon substrates comprising boron nitride (BN), pyrolytic boron nitride (PBN), or carbon doped pyrolytic boron nitride (C-PBN).

The protective coatings may be deposited on substrates by processes comprising expanding thermal plasma (ETP), ion plating, plasma enhanced chemical vapor deposition (PECVD), metal organic chemical vapor deposition (MOCVD) (also called Organometallic Chemical Vapor Deposition (OMCVD)), metal organic vapor phase epitaxy (MOVPE), physical vapor deposition processes such as sputtering, reactive electron beam (e-beam) deposition, and plasma spray. Exemplary processes are ETP and ion plating.

The protective coatings are also advantageously in a state of compressive stress when disposed upon the substrates, thus facilitating the retention of dimensional stability and mechanical strength as well as a reduction in the number of cracks. The protective coatings also have a substantially reduced number of cracks when compared with other protective coatings manufactured by processes such as thermal chemical vapor deposition. In one embodiment, the protective coating has excellent adhesion to the substrate and is crack free. The reduced number of cracks as well as the morphology of the coating minimizes any etching of the substrate during the periodic cleaning of the equipment.

As used herein, “crack free” or “substantially crack free” means that no cracks can be observed by optical microscopy or SEM with 10 k magnification. Cracks also include holes, perforations, pores, or lines. Adhesion means the bonding of two different materials, and cohesion means a mass that resists separation. As used herein, having an excellent adhesion means that the adhesive strength of the coating layer exceeds the cohesive strength of underlying layer or layers.

When applied to a substrate, the protective coating layer of the invention significantly increases the life cycle of the article. The life cycle is the amount of time that the article can be subjected to a cleansing environment before it has to be replaced as a result of the etching away of the protective coating. Examples of suitable cleansing environments include halogen-based plasmas, halogen-based radicals generated from remote plasma source, halogen-based species decomposed by heating, halogen-based gases, oxygen plasmas, oxygen-based plasmas, or the like. An example of a halogen-based plasma is a nitrogen trifluoride (NF3) plasma. Fluorinated hydrocarbons such as, for example, carbon tetrafluoride (CF4) can be used either alone or in combination with oxygen. The article may also be subjected to a reactive ion etching environment and should be capable of offering resistance to etching in such an environment as well.

In one embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 5 hours over articles that have unprotected PBN as a substrate. In one embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 10 hours over similar articles that have unprotected PBN. In yet another embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 50 hours over similar articles that have unprotected PBN. In yet another embodiment, the protective coating increases the life cycle of the article by a time period of greater than or equal to about 100 hours over similar articles that have unprotected PBN.

The thickness of the protective coating may be varied depending upon the application and upon the cleaning conditions. Protective coatings having thickness of about 1 to about 5 μm, generally permit good thermal contact between the wafer and the electrostatic chuck, but do not last as long as coatings having greater thicknesses. Coatings having thicknesses of greater than 5 μm, however, have longer life cycles than coatings having thickness of less than 5 μm. In one embodiment, the coating has a thickness of greater than or equal to about 2 micrometers (μm). In another embodiment, the protective coating thickness is greater than or equal to about 10 μm. In a third embodiment, the thickness is greater than or equal to about 50 μm. In yet another embodiment, the thickness is greater than or equal to about 75 μm.

As known in the art, compressive stress in protective coating films or layers prevents the formation of cracks thereby increasing the useful life of the article. The protective coatings of the present invention are generally under compressive stress when deposited upon substrates comprising PBN, PG or C-PBN, as distinguished from the thermal CVD coatings of the prior art.

Stresses in films are measured using techniques known in the art, e.g., as described in “Mechanical Properties of Thin Films,” by W. D. Nix, Metallurgical Transactions A, 20A, 2217 (1989); “The Mechanical Properties of Thin Condensed Films” by R. W. Hoffman, Physics of Thin Films, Vol 3, Academic Press, New York, 1966; and P. H Townsend et al., J. Appl. Phys. 62, 4438 (1987), for multi-layered structures.

The residual stress is the stress in the coating at room temperature. For AIN coating films, the residual (mechanical) stress is the sum of the stress due to the CTE mismatch between AlN and the substrate, and the intrinsic stress of the AlN as deposited. The total mechanical or residual stress of a film can be summarized by the following formula (1)
Stress (residual) s=Stress sT (thermal)+Stress si (intrinsic)   (1).

In the equation, si is intrinsic stress, which is a fundamental result of the conditions and method of film growth and is to a large degree a reflection of the film structure and the presence of impurities. The term sT refers to the thermal stress in the film due to the CTE mismatch between the film and the substrate. Thermal stress sT is dependent on various factors, including the CTE of the substrate, the CTE of the protective film, the processing temperature (Tdep) and the reference temperature (Tref), which can be the room temperature or the temperature of the device under operating condition(s) of interest. The stress at Tref can be lowered by having Tdep closer to Tref, or to adjust the intrinsic stress for a given fixed Delta CTE where:
Stress sT (thermal) is proportional to ((CTEsubstrate-CTEfilm)*(Tdep=Tref)).

In one embodiment, the coating film has an intrinsic stress si of less than or equal to about 10 Mpa (tensile). In another embodiment, the coating has an intrinsic stress si to be greater than or equal to about 100 Mpa (compressive). In yet another embodiment, the coating has an intrinsic stress value of greater than or equal to about 300 Mpa. In a fourth embodiment; the intrinsic stress value is greater than or equal to about 400 Mpa.

In one embodiment of the embodiment and with a high compressive intrinsic stress property, the protective coating displays a residual stress that is compressive in nature on a wide range of substrates with a CTE value smaller than that of the material comprising the coating film layer, e.g., PBN with a CTE smaller than that of AlN.

In one embodiment, the film has a residual stress value on PBN is generally greater than or equal to about 10 MPa. In another embodiment, the residual stress is generally greater than or equal to about 100 MPa (compressive). In yet another embodiment, the residual stress is generally greater than or equal to about 200 MPa (compressive).

The compressive stress permits the protective coating to withstand thermal cycling without cracking. The protective coatings have very few cracks and this feature provides significant resistance against etching. In one embodiment, the initial protective coating may have cracks that occupy a volume of less than 10% of the total volume of the coating. In another embodiment the initial protective coating may have cracks that occupy a volume of less than 5% of the total volume of the coating. In yet another embodiment, the protective coating may be completely crack free when it is initially manufactured.

The protective coating of the invention cain advantageously withstand thermal cycling at high heating and cooling rates. In one embodiment, no cracks are formed when the protective coating is thermally cycled to temperatures as high as 800° C.

The protective coating is characterized as being etch-resistant, or having a low-etch rate in an environment comprising halogens or when exposed to plasma etching, reactive ion etching, plasma cleaning and gas cleaning. The etch rate for the protective coating is much lower than coatings of a similar chemical composition that are sintered. The etch rate of the protective coating is also less than the etch rate for the PBN substrate. This low etch rate provides protection for the PBN thereby extending the life of the article.

In one embodiment, the etch-resistant coating has an etch rate of less than 1000 Angstroms per minute (/min) in a halogen-containing environment. In a second embodiment, this rate is less than 500 Angstroms per minute (/min). In a third embodiment, the rate is less than 100 Angstroms per minute (/min). In a fourth embodiment, the etch resistant protective coating is less than or equal to about 30 Angstroms per minute (/min) in a cleansin g environment that comprises halogens or when exposed to a reactive ion etching environment. In one embodiment, the etch rate is less than or equal to about 20/min. In another embodiment, the etch rate is less than or equal to about 15/min. In yet another embodiment, the etch rate is less than or equal to about 5/min. In a further embodiment, the etch rate is less than or equal to about 2/min.

When articles such as hot plates, electrostatic chucks, wafer carriers, or the like, are used during semiconductor processing operations, particles are often formed on the backside of the hot plate. The formation of these particles is undesirable. Articles coated with protective coatings comprising AlON, AlN or Al2O3, display a significant reduction in the number of such particles formed. In one embodiment, the number of particles formed on the backside of an article coated with the protective coating is reduced by at least 25% over a similar article that is not coated with the protective coating. In another embodiment, the number of particles produced is reduced by at least 50% over a similar article that is not coated with the protective coating. In a third embodiment, the number of particles produced is reduced by at least 75% over a similar article that is not coated with the protective coating.

The protective coatings can accommodate small concentrations of other non-metallic elements such as oxygen and/or hydrogen without any deleterious effects on corrosion resistance or etch resistance. The hydrogen and/or oxygen can be covalently bonded to the aluminum. Alternatively, the hydrogen and/or oxygen can be absorbed or adsorbed onto the surface of, or in the bulls of the protective coating. In one embodiment, the protective coatings can contain up to about 20 atomic percent (at %) of hydrogen and/or oxygen. In another embodiment, the protective coating can comprise hydrogen and/or oxygen up to about 10 at %.

Process of depositing the protective coating—ETP In one embodiment, the deposition is via ETP. By using ETP, high coating deposition rates may be achieved at relatively low temperatures. Furthermore, protective coatings can be applied to large areas of the substrate in a single operation. The protective coating can comprise a single layer that is applied in a single step or in multiple steps if desired. Both sides of the substrate can be,coated simultaneously if desired. Multiple sets of plasma generators can be used to increase deposition rate and/or the area of coverage. The ETP process can be carried out in a single deposition chamber or in a plurality of deposition chambers.

ETP methods that may be used for applying the protective coating are described in U.S. Pat. No. 6,261,694 and U.S. Pat. No. 6,397,776, both of which are hereby incorporated by reference. In one embodiment of coating an article by ETP, a coating precursor is introduced into an ETP and the plasma stream produced by the ETP impinges upon the surface of the substrate. Prior to applying the protective coating, the substrate can be etched if desired. In one embodiment, the substrate is first heated to the desired temperature following which a coating precursor is introduced into the chamber.

The aluminum precursor source is typically an organometallic compound. Examples of suitable coating precursors are trialkylaluminum (e.g., trimethylaluminum (TMA), triethylaluminum (TEA)), aluminum metal, aluminum halide (e.g., aluminum chloride, aluminum bromide), hexakis(dimethylamido) dialuminum (Al2(NMe2)6), trimethylaluminum ammonia, trimethylamine alane, trialkylsiloxydihaloalane, metal alkoxides diketonates, and carboxylates such as aluminum acetylacetonate, aluminum butoxide, aluminum ethoxide, aluminum isopropoxide, or the like, or a combination comprising at least one of the foregoing precursors.

Depending on the specific plasma chemistry, the deposited protective coating layer may be amorphous, substantially amorphous, substantially crystalline, or engineered to be a mixture of both amorphous and crystalline phases. As used herein, “substantially amorphous” means at least 90% amorphous phase, whereas “substantially crystalline” or “highly crystalline” means at least 90% crystalline phase.

In one embodiment, when TMA is used as the precursor, ammonia is also introduced into the chamber to facilitate the formation of an aluminum nitride coating. Argon may be optionally introduced into the chamber if desired. When ETP is used for depositing the protective coating, the process temperature is generally less than or equal to about 700° C. In one embodiment, the process temperature is maintained at less than or equal to about 500° C. In another embodiment, the process temperature is maintained at less than or equal to about 200° C. The use of temperatures of less than or equal to about 200° C. facilitates the formation of protective coatings that are substantially amorphous.

The use of ETP permits the rapid application of a protective coating when compared with other techniques such as sputtering or PECVD. In one embodiment, the protective coating can be deposited at a rate of greater than or equal to about 1 μm/minute. In another embodiment, the protective coating can be deposited at a rate of greater than or equal to about 2 μm/minute. In a third embodiment, the protective coating can be deposited at a rate of greater than or equal to about 3 μm/minute.

Process of depositing the protective coating—IP In one embodiment, the protective coating is deposited using ion plating (IP). In ion plating, aluminum is ionized using a radio frequency (RF) discharge. Ionization and plasma is mainly sustained by the formation of nitrogen ions from nitrogen. Ionization is brought by plasma discharge, which can be sustained not only by RF coils but also by using a capacitive coupling plasma or an inductive coupling plasma. The chamber in which the deposition occurs is generally maintained under vacuum conditions. Nitrogen gas is introduced into the chamber and becomes ionized by RF discharge. The substrate is generally placed on or near a cathode to direct the ionized gases. The substrate is placed in an argon/nitrogen plasma, together with the aluminum metal, which vaporizes on heating and becomes partly ionized (acquires charged atoms) as it diffuses through the discharging region to form the protective coating.

The temperature in the chamber during ion plating is maintained at less than or equal to about 400° C. In one embodiment, the temperature in the chamber is maintained at less than or equal to about 300° C. In another embodiment, the temperature in the chamber is maintained at less than or equal to about 200° C. When the protective coating comprises aluminum nitride, an exemplary temperature is about 170° C.

The deposition rate during ion plating is greater than or equal to about 0.1 μm/hour. In one embodiment, the deposition rate is greater than or equal to about 0.15 μm/hour. In another embodiment, the deposition rate is greater than or equal to about 0.20 μm/hour. In yet another embodiment, the deposition rate is greater than or equal to about 0.25 μm/hour.

Applications of the Invention There are numerous advantages of using a protective coating comprising AlN, AlON or Al2O3. The protective coating is under a compressive stress which promotes a close and strong contact between the protective coating and the PBN. The coating displays a high temperature capability and a strong thermal shock resistance. Furthermore, the coating layer prevents the formation of particles from the substrate layer, e.g., pBN, when the article is subjected to a voltage during semiconductor processing operations. In addition, the etch rate for the coating and/or the substrate is very low in a corrosive environment comprising halogens.

The protective coatings can be advantageously used for semi-conductor processing components such as substrates, liners, evaporators, crucibles, heating elements, wafer carriers, electrostatic chucks, susceptors, or the like. The protective coating enhances the life of the aforementioned semi-conductor processing components when these components are exposed to ammonia, hydrogen, halogens such as fluorine, chlorine, nitrogen trifluoride, or the like, at temperatures of greater than or equal to about 200° C.

FIG. 1 is a picture of one exemplary embodiment of an article 10 coated with the protective coating. The article can be a heating element, an electrostatic chuck or a wafer carrier that can be used for locating and/or heating the silicon wafers during the deposition of surface layers on the wafer. The article comprises a graphite core 2 upon which is disposed a first boron nitride (BN) layer 4. The core can also be made from PBN, hot pressed BN or composites. The BN layer 4 is deposited by thermal chemical vapor deposition (thermal CVD), hot pressing, sintering, or plasma enhanced chemical vapor deposition (PECVD). Disposed upon the BN layer 4 is a layer of pyrolytic graphite (PG) 6. The PG layer behaves as a resistance element 12 and is deposited upon the BN layer 4 by chemical vapor deposition (CVD) or other processes known in the prior art. Methane gas is decomposed in the thermal CVD for depositing the PG layer 6. The PG layer 6 is machined into a desired configuration. The machined PG layer 6 together with the first BN layer 4 and the graphite substrate 2, form the body of the article. A pyrolytic boron nitride (PBN) coating 8 is disposed upon the body of the article such that it substantially covers the body of the article. This PBN coating 8 resists oxidation, provides electrical insulation, chemical and mechanical protection and minimizes the opportunity for carbon contamination of the wafers. It also has very high thermal conductivity in the a-b direction, thereby minimizing any thermal non-uniformity on the top of the heater. The protective coating 12 is then disposed upon the PBN coating 8.

FIG. 2 is another exemplary embodiment of an article 20 coated with the protective coating. The article comprises a graphite core 22 upon which is disposed a tie layer 24. The tie layer generally comprises tantalum carbide, titanium carbide, tungsten carbide, silicon carbide, silicon oxycarbide, zirconium carbide, hafnium carbide, lanthanum carbide, vanadium carbide, niobium carbide, magnesium carbide, chromium carbide, molybdenum carbide, beryllium carbide, or the like, or a combination comprising at least one of the foregoing tie layers and acts as a adhesion promoter and to reduce the strain between AlN and graphite. Disposed upon the tie layer 24 is a first protective coating layer 26. The protective coating layer 26 can comprise aluminum nitride, aluminum oxynitride, aluminum oxide or combinations thereof. Disposed upon the first protective coating layer 26 is a printed pattern 28 that can comprise any electrically conducting film. Examples of the printed pattern 28 are molybdenum and/or manganese based electrodes applied by screen printing, tantalum or molybdenum metal foils, or the like. The printed pattern 28 can be applied by other techniques such as plasma spray as well. A second protective coating layer 30 is then disposed upon the entire device.

FIG. 3 is a depiction of one exemplary embodiment of an electrostatic chuck 40 that comprises a supporting substrate 42, a heat-generating layer 44, electrodes 46, an insulating layer 48 and the protective coating 50. The supporting substrate is generally insulating and can comprise BN-coated graphite or BN. The heat generating layer 44 and the electrodes 46 are electrically conducting. The electrodes are capable of handling high voltages at high frequencies for purposes of electrostatic chucking. The insulating layer 48 can also be obtained from PBN. The electrostatic chuck of FIG. 3 can be used as a water carrier to transfer wafers from place to place during the process of depositing or removing layers from the wafer.

In another exemplary embodiment related to an electrostatic chuck, heater elements in the form of electrically conductive layers are embedded within the insulating protective coatings. Thus, heating may be provided by passing electrical current through the conductive layers instead of indirectly heating a graphite susceptor. In a further embodiment of an electrostatic chuck, the electrically conductive layers are used for supporting and holding a single crystal wafer or equivalent substrate by electrostatic forces. In yet another embodiment, the electrically conductive layers are used as “wafer support” (or as a wafer processing platform) for supporting and holding a single crystal wafer or equivalent substrate by electrostatic forces as well as for heating such a wafer or substrate.

EXAMPLES

The following examples, which are meant to be exemplary, not limiting, illustrate compositions and methods of manufacturing of some of the various embodiments of the protective coatings described herein.

Expanded Thermal Plasma Examples

In examples 1 through 19, ETP is used to coat PBN/Graphite and silicon wafers with AlN. The PBN/Graphite wafers are approximately 4 millimeters (mm) thick and consist of a graphite core coated with PBN using thermal CVD. The PBN is wiped clean with isopropanol and loaded into the coating chamber. Silicon wafers are about 100 mm (4 inches) in diameter. The stress, thickness, and refractive index of the AlN coating are measured by coating the 100 mm silicon wafer.

The chamber is pumped down to a base pressure of about 1 milliTorr (mTorr). The chamber is then back filled with argon to a pressure of 100 Torr following which it is pumped back down. This is repeated for three cycles to minimize the air and moisture in the chamber. The ETP source is operated at a current of 50 Amps, a voltage of 55 volts, and an argon flow rate of 2.5 liters per minute (1 pm). The substrate is etched with an argon plasma for a time sufficient to bring the substrate to the coating temperature. No additional heating is used. Typical coating temperatures are from 200-650° C.

After reaching the deposition temperature, ammonia is introduced into the reactor through a ring injector located concentric to the center of the ETP and placed 1 inch downstream from the anode of the ETP. Trimethylaluminum (TMA) is also introduced through a ring injector adjacent to the TMA injector. Argon and ammonia are controlled with standard mass flow controllers. TMA is controlled with a vapor mass flow controller. The TMA reservoir is maintained at 60° C. to achieve the required vapor pressure. All feed lines are maintained above this temperature to avoid condensation of the TMA.

The residual stress is measured on an Ionic System Stress Gauge II Analyzer. The intrinsic stress is calculated from known values of the coefficient of thermal expansion (CTE) of the silicon wafer and AlN. The CTE of the coating is measured on selected samples by measuring the residual stress as a function of temperature on two different substrates. The refractive index and thickness is measured with a J. A. Woollam Co. model M-200UI spectroscopic ellipsometer. Thickness is also calculated based on the weight gain of the substrate. Composition is measured both by x-ray photoelectron spectroscopy (XPS) and Rutherford backscattering (RBS). Adhesion is measured with a Sebastian Model 5 tensile adhesion tester.

In order to measure resistance to etch, the samples are reactive ion etched (RIE) in a Plasma-Therm parallel plate reactor. Typical etch conditions are 150 Watts (0.24 W/cm2), 34 standard cubic centimeters (sccm) of argon, 17 sccm NF3 and an electrode temperature of 25° C. The pressure in the etch chamber is maintained with a throttle valve at 150 mTorr. The etch rate is measured either by weight loss, profilometry of the step change around a masked portion of the substrate, and/or ellipsometry measurement of the thickness change. Samples are generally etched for 5 hrs. Selected samples are however, etched for 5, 10 and 15 hours. Shown in Table 1 are the processing conditions used for each example. Specifically listed are the ammonia flow, TMA flow, temperature of the deposition, chamber pressure, time of deposition for each example.

Example 1

A silicon substrate is coated with AlN as described above with the parameters listed in Table 1. The resultant coating is 0.75 μm thick resulting in a deposition rate of 0.79 μm/minute. The coating is well-adhered and shows no signs of cracking. X-ray diffraction and TEM analysis of this coating, shown in FIGS. 4, 5 and 6, shows the coating to be amorphous and nanocrystalline with crystallite sizes of up to about 10 nm.

FIG. 4 is an X-ray diffraction pattern of an AlN coating showing that the sample has a large amorphous fraction. FIG. 5 is a transmission electron microscopy (TEM) micrograph image (left) and an electron diffraction pattern (right) for an AlN coating. This figure reveals that the coating has nanocrystalline AlN grains. The bright, diffuse rings in the diffraction pattern suggest the presence of a large amorphous component to the microstructure. FIG. 6 is a high resolution TEM micrograph of AlN coating of Example 19. The size marker represents 5 nanometers. An evaluation of the crystalline sizes from the FIG. 6 reveals that the crystallite sizes are less than or equal to about 10 nanometers.

The composition by XPS and RBS analysis shows that the protective coating comprises 41 atomic percent (at %) aluminum, 28 at % nitrogen, 14 at % oxygen, and 16 at % hydrogen. The refractive index is 1.86. The residual stress on the Si wafer is 161 MPa tensile. The calculated intrinsic stress is 133 MPa (compressive). The etch rate is about 17/Imin.

Example 2

In this example a PBN/graphite substrate is coated in a similar fashion as in Example 1, but with a higher TMA flow rate and for a longer time of 15 minutes to achieve a 25 μm thick protective coating. The coating is used to determine adhesion and thermal cycling ability of the coating. The etch rate is also about 12/min as observed on the silicon wafer. This indicates a crack free AlN coating disposed upon the PBN substrate. The PBN/graphite sample is subjected to thermal cycling from 25° C. to 600° C. at a rate of about 40° C./minute. No delamination of the sample is observed. Adhesion after thermal cycling is inchanged.

Comparative Example 3

In this example, a PBN/graphite sample without the protective coating is etched under the same conditions as Example 1. The etch rate is about 100,000/min. This in dicates that when the aluminum nitride coating is placed on the substrate, the etch rate is reduced by several orders of magnitude, thereby indicating the need for an AlN coating in order to increase the life of the article.

Comparative Example 4

A PBN/graphite sample is coated with AlN by a conventional thermal CVD process from ammonia and aluminum trichloride at 1000° C. The coating cracks and delaminates from the substrate upon cooling to room temperature indicating a tensile stressed coating. X-ray diffraction shows that the sample is highly crystalline. Areas of the substrate where the AlN had not completely delaminated were etched at a rate similar to that shown in Comparative Example 3. The AlN coating deposited by conventional thermal CVD is thus not protective when compared with the protective coating deposited by ETP. The etch rate of the AlN, estimated from a change in step height, is about 250/min.

Example 5

In this example, a 100 millimeter diameter silicon wafer is coated with AlN in an identical fashion to that in Example 1, except that in this example the standard practice of pumping down the chamber and back filling before coating is practiced. As shown in Table 1, the deposition rate is 1 μm/min. The etch rate is about 9/min. The residual stress o f the coating is 252 Mpa (tensile); the calculated intrinsic stress is 23 MPa (compressive) XPS and RBS analysis shows that the atomic percentages (at %) for the protective coating are 1.3 at % carbon, 43 at % aluminum, 35 at % nitrogen, 3.4 at % oxygen and 17 at % hydrogen. This shows that the oxygen content is much lower than that contained in Examnple 1.

The reduced etch rate accompanying the lower oxygen content in Table 1 indicates that controlling the background air and moisture content of the reaction chamber is useful for producing protective coatings with superior properties. The refractive index (RI) is 2.28. Based on the good correlation of RI with oxygen content in the film, RI is used as a measure of the oxygen content for subsequent samples.

Examples 6-8

In these examples, PBN/graphite samples are coated in a manner similar to that in Example 5. The time period for the coatings is 3, 30, and 60 minutes to obtain 5, 39 and 80 μm thick protective coatings respectively. Even the sample having the thickest coating (i.e., 80 μm), no delamination of the sample is observed. This sample is also thermally shocked to 600° C. in air with no cracking or delamination of the sample.

Examples 9-14

In these examples, silicon wafers are coated in a manner similar to that in Example 23 with the conditions noted in Table 1. These examples demonstrate the range of properties obtainable for the protective coating when deposited by the ETP process. Examples 30, 31 and 14 show that the residual stress can be varied over a wide range from about 420 MPa to about −13 Mpa. Examples 29, 30 as compared to example 19 show that the deposition rate can also be increased by about 4× from about 0.7 to about 2.8 microns per minute. These deposition rates do not represent the limits of the ETP process but were instead limited only by the vapor mass flow controller used. These deposition rates are a factor of 10-100X faster than standard PECVD processes. Examples 10, 11, and 12 show that the etch rate of the AlN can also be varied by a Factor of 5 from 3 to 15/min.

Example 15

In this example, a silicon wafer is coated in a manner similar to that in Example 9 except that oxygen is purposefully introduced into the reaction chamber. The flow rate of oxygen is 0.2 lpm. The refractive index of the protective coating is 1.59, which is approximately similar to that of Al2O3. Thus, by incorporating oxygen in the ETP process a range of compositions from AlN to Al2O3 can easily be prepared.

Examples 16-17

In these examples, Silicon is coated in a manner similar to that in Example 5. The time period for the coatings is 0.57 minutes to obtain 0.40 and 0.43 μm thick protective coatings respectively. The coatings show similar intrinsic compressive stress despite the large range of deposition temperatures.

Example 18 In this example, PBN/Graphite and TaC/graphite samples are coated in the manner similar to that of Example 16. However, the subsrate temperature is set at 660° C. to demonstrate the ability to form thick, crystalline AlN coating on material substrates of interest. The coating does not crack or delaminate from the substrate upon cooling to room temperature indicating a low tensile/compressive stressed coating. X-ray diffraction shows that the sample is highly crystalline (substantially crystalline). The adherence of the coating to the subsrate is greatly enhanced as evidenced by no signs of spalling or delamination even after multiple thermal cycling up to 800° C.

Table 1 summarizes the results including coating thickness, residual stress (wherein positive value is tensile; negative value is compressive), refractive index, etch rate for each of these coatings, and calculated intrinsic stresses in films. For intrinsic stress calculations, 4.7 ppm/° C. and 2.62 ppm/° C. are used as the coefficients of thermal expansion for AlN and Si respectively; and 330 GPa is used for the value of Youngs modulus of AlN.

TABLE 1 Residual Intrinsic Ex. NH3 TMA Temp. Press. Time Thick Stress Stress Etch # (lmp) (lmp) (° C.) (m Torr) (min) (μm) (MPa) (MPa) RI* A/min 1 4 0.1 325 90 1 0.75 161 −133 1.86 17 2 4 0.2 320 90 15 25 12 5 4 0.15 305 90 1 1 252 −23 2.28 9 6 4 0.2 160 90 3 4.9 7 4 0.2 325 90 30 39 8 4 0.2 320 90 60 80 9 4 0.2 200 140 0.2 0.35 103 −69 1.91 12.6 10 5 0.3 200 90 0.38 0.46 373 201 1.95 2.9 11 5 0.3 200 140 0.13 0.36 393 221 1.89 11.9 12 4 0.3 200 115 0.22 0.45 420 248 1.92 14.9 13 3 0.1 200 140 .33 .31 −53 −225 1.85 1.9 14 3 0.1 335 180 .38 .38 −113 −417 1.92 14.9 15 3 0.2 225 90 3 0.32 1.59 16 4 0.1 350 170 0.57 0.43 88 −120 17 4 0.1 540 170 0.57 0.40 139 −260 1.86 18 4 0.1 660 170 30 26
*RI = refractive index

Adhesion Strength Examples

In these examples, PBN/graphite and TaC/graphite samples are coated in a manner similar to that in Example 5. Tensile pull tests are performed on the samples to study the adhesion between AlN films and substrates. In one sample, where TaC/graphite was coated by AlN film, the pull test shows tensile strength of 3100 PSI before the sample fails. The failed interface is within the graphite substrate. In another sample where PBN is coated by AlN film, the pull test shows tensile strength of 525 PSI before the sample fails. The failed interface is within the PBN layer. The data indicates that the adhesive strength of AlN to underlying layers/substrates (i.e., TaC/graphite and PBN/graphite of the examples) exceeds the cohesive strength of these layers/substrates.

Ion Planting Examples

In the following examples, a protective coating of AlN is manufactured using ion plating. In the process of ion plating, aluminum metal is ionized in the presence of an argon plasma. The argon flow rate is varied in an amount of about 5-15 standard cubic centimeters (sccm). A radio frequency source having a power of 800 watts (W) is used to ionize the aluminum metal. Nitrogen gas is introduced into the reaction chamber at a flow rate of 40 cm3 and becomes ionized in the plasma. The process temperature is adjusted to be 170° C. The pressure in the reaction chamber is adjusted to 5×10−4 Torr. The deposition rate for the AlN is 0.15 to 0.22 μm/hour.

Example 19

This example is conducted using hot plates to verify that a suitable chucking force is obtained for a PBN electrostatic chuck that is coated with AlN. A 200 mm (8 inch) diameter silicon wafer is placed on a PBN electrostatic chuck (dipole chuck) of nominally the same diameter. The chuck contains 2 spiral PG electrodes that are used to create the electric field. A direct current is applied using a chucking voltage of 2 kV. A voltage of +1 kV is applied to one electrode while a voltage of −1 kV is applied to the other. The force required to lift the silicon wafer off the hot plate is measured at 3500 grams. After AlN coating the hot plate, the same test showed that the chucking force is 3000 grams. In both cases, the silicon wafer can be immediately de-clamped by turning off the applied voltage.

Example 20

This example is conducted to determine the effect of thermal cycling on the AlN coating deposited by ion plating. A 200 mm PBN-based hot plate is overcoated with 1.5 μm of AlN by ion plating. The surface is examined with an optical microscope at a magnification of 150× and no cracks are observed. The hot plate is heated to 200° C. and held for 1 hour, then cooled to room temperature. The sample is then viewed using optical microscopy (150×), and again no cracks are observed. The hot plate is then heated to 400° C. and held for one hour. Again, no cracking is observed by optical microscopy at 150×.

Example 21

This example is to demonstrate the effect of process conditions on stress. In order to determine the effect of argon flow rate on the protective coating deposited by ion plating, the argon flow rate is reduced from 15 sccm to 5 sccm. Both samples are viewed using scanning electron microscopy (SEM) at a magnification of 1000×. The AlN deposited at 15 sccm shows cracks, while the AlN deposited at 5 sccm shows no cracks.

Example 22

The AlN coating is generally applied to samples by biasing an iron plate (cathode). When this method is used, vertical features on the substrate such as for example, thermocouples wells, through holes for mounting are usually never adequately coated. To improve this and facilitate a coating upon vertical surfaces, the cathode and the graphite substrate are placed in electrical communication with one another as illustrated in FIG. 7. A hole is made through a 13.8mm thick PBN-coated graphite plate, and coverage inside the hole is measured using SEM. All thicknesses are normalized to the thickness on the horizontal surface just outside the hole. Results show that by biasing the graphite using a voltage 300V, the inside of the hole has a thickness corresponding to 85% of the horizontal thickness. Likewise, halfway down the hole, the thickness is 65% of horizontal while at the base of the hole it is 45% of horizontal.

Example 23

This example is undertaken to determine the crystalline content of the AlN sample. An AlN protective coating deposited on a silicon wafer by ion plating is measured using XRD. This diffraction pattern is compared with diffraction patterns obtained for a silicon wafer alone and also for a sample containing sintered AlN. The XRD results are shown in FIGS. 8, 9 and 10.

FIG. 8 shows the XRD pattern derived from the silicon wafer. The peak seen in the FIG. 8 is due to silicon. FIG. 9 shows the XRD pattern from the AlN protective coating deposited by ion plating on a silicon substrate. FIG. 9 shows only a single peak. This peak is in the same position as the peak in FIG. 8 indicating that the peak is due to the silicon substrate. The lack of any peaks for the AlN coating illustrates that the coating is amorphous. FIG. 10 shows a comparative XRD pattern obtained from sintered AlN. This pattern contains multiple peaks indicating that the AlN obtained by sintering is crystalline in nature. Thus AlN deposited by ion plating is amorphous.

Example 24

In this example, the stress in the AlN protective coating is measured using ellipsometry. The protective coating is deposited by ion plating on a 100 mm diameter silicon wafer as described above. The protective coating has a thickness of 2.338 μm. The measured stress in the AlN protective coating is compressive having a value of about 50 MPa.

Example 25

A 100 millimeter diameter PBN-based hot plate containing both a heating element and an electrode for electrostatic clamping is manufactured. Two different parts are prepared. The first part is used as a comparative example, made of a construction consisting of a graphite core a base coating of PBN, a patterned PG electrode, and a C-doped PBN overcoat. The second part has a similar construction, but with a protective top coating of AlN formed by ion plating. The thickness of the protective coating is 3 μm.

Silicon wafers are chucked to the hot plate for 60 seconds using ±0.3 kV for the C-PBN heater and ±1.8 kV for the AlN coated heater. The test is performed at 200° C. and 400° C. Particles are generated on the backside of the silicon wafer, counted and sorted by particle size.

Results in Table 2 show that hot plates with an AlN protective top coat show a reduction in the number of large particles formed (i.e., particles having sizes of greater than or equal to about 1 μm). It can also be seen that there is a reduction in the number of small particles formed.

TABLE 2 C-PBN Particle overcoat AIN protective C-PBN overcoat AIN protective Size at 200° C. coat at 200° C. at 400° C. coat at 400° C. <1 μm 14709 10208 15844 13398 >1 μm 32052 8863 35490 12651 Total 46761 19071 51334 26049

Claims

1. A protective layer for coating at least a surface of an article for use in a halogen-containing gas and/or plasma environment, said coating layer comprising at least one of aluminum nitride, aluminum oxide, aluminum oxynitride or combinations thereof,

wherein the protective layer is substantially crack free.

2. The protective layer of claim 1, wherein the surface of the article is a substrate comprising one of pyrolytic boron nitride, graphite, pyrolytic graphite, carbon doped boron nitride, silicon carbide, tantalum carbide, titanium carbide or tungsten carbide, silicon oxycarbide, zirconium carbide, hafnium carbide, lanthanum carbide, vanadium carbide, niobium carbide, magnesium carbide, chromium carbide, molybdenum carbide, beryllium carbide, and combinations thereof.

3. The protective layer of claim 2, wherein the substrate comprises pyrolytic boron nitride.

4. The protective layer of claim 1, having a thickness in the range between 3 μm to 200 μm.

5. The protective layer of claim 1, wherein the layer is substantially amorphous.

6. The protective layer of claim 1, wherein the layer is substantially crystalline when deposited onto an underlying substrate in the range of 600-900° C.

7. The protective layer of claim 1, wherein the layer is substantially crystalline, substantially amorphous, or a mixture of both crystalline and amorphous.

8. The protective layer of claim 1, wherein the layer has an amorphous content of 100 wt %, based upon the total weight of the coating layer.

9. The protective layer of claim 8, wherein the layer has an amorphous content of at least 50 wt %, based upon the total weight of the coating layer.

10. The protective coating layer of claim 1, wherein the coating layer has a crystallite size of less than or equal to about 10 nanometers.

11. The protective coating layer of claim 1, wherein the coating layer has an intrinsic tensile stress of less than or equal to about 10 MPa, or under compressive stress of less than or equal to 200 MPa.

12. The protective coating layer of claim 1, wherein the coating layer increases the life cycle of the article for use in a halogen-containing gas and/or plasma environment by at least five hours, as compared to an article not coated with said coating layer.

13. The protective coating layer of claim 1, wherein the coating layer contains cracks occupying less than 10% of the total volumne of the coating layer.

14. The protective coating layer of claim 1, having an etch resistance rate of less than 100 Angstroms per minute upon exposure to a halogen-containing gas and/or plasma environment.

15. The protective coating layer of claim 14, having an etch resistance rate of less than 30 Angstroms per minute upon exposure to a fluorine-containing gas and/or plasma environment.

16. The protective coating layer of claim 1, comprising up to about 20 atomic percent of oxygen and/or hydrogen.

17. The protective coating layer of claim 1, having at least 25 % less of particles forming on the backside of the article coated with the protective coating as compared to an article not coated with said coating layer.

18. The protective coating layer of claim 1, wherein the protective coating is deposited on the surface of the article by one of expanding thermal plasma, plasma enhanced chemical vapor deposition, metal organic chemical vapor deposition, metal organic vapor phase epitaxy, sputtering, electron beam and plasma spray.

19. The protective coating layer of claim 1, wherein the protective coating is deposited on the surface of the article by an ion plating process.

20. An article comprising a protective coating layer on at least one of its surfaces, said coating layer comprising at least one of aluminum nitride, aluminum oxide, aluminum oxynitride or combinations thereof,

and wherein the coating layer is substantially crack free when said article is exposed to fluorine containing gases and/or plasma.

21. The article of claim 20, wherein the coating layer has an intrinsic tensile stress of less than or equal to about 10 MPa, or under compressive stress of less than or equal to 200 MPa.

22. The article of claim 20, wherein the coating layer is substantially crystalline when deposited onto an underlying substrate in the range of 600-900° C.

23. The article of claim 20, wherein the coating layer has an amorphous content of at least 50 wt %, based upon the total weight of the coating layer.

24. The article of claim 20, further comprising a conductive electrode for use as a heater or electrostatic chuck.

25. The article of claim 24, wherein the electrode comprises pyrolytic graphite, molybdenum, manganese, tantalum, or a combination comprising at least one of the foregoing materials.

26. The article of claim 24, wherein the electrode is in the form of a foil.

27. The article of claim 24, wherein the electrode is manufactured by a process involving screen-printing, sputtering, ETP, plasma spray, bead blasting, vapor deposition, and combinations thereof.

28. A method for modifying the in-film stress in a protective coating layer of an article for use in a halogen-containing gas and/or plasma environment, said method comprising:

depositing a protective coating layer on at least one surface of the article, said coating layer comprising at least one of aluminum nitride, carbon and/or oxygen doped aluminum nitride, aluminum oxide, aluminum oxynitride or combinations thereof,
said at least one surface comprises at least one of pyrolytic boron nitride, pyrolytic graphite and/or carbon doped boron nitride, silicon carbide, tantalum carbide, titanium carbide or tungsten carbide, silicon oxycarbide, zirconium carbide, hafnium carbide, lanthanum carbide, vanadium carbide, niobium carbide, magnesium carbide, chromium carbide, molybdenum carbide, beryllium carbide or combinations thereof,
wherein said protective coating layer is substantially crack free upon exposure to said halogen-containing gas and/or plasma environment.

29. The method of claim 28, wherein the deposited coating layer has an intrinsic tensile stress of less than or equal to about 10 MPa, or under compressive stress of less than or equal to 200 MPa.

30. The method of claim 28, wherein the protective coating layer is deposited on said at least one surface via at least one of ion plating and plasma assisted CVD methods.

31. The method of claim 28, wherein the protective coating layer is deposited on said at least one surface via an expanding thermal plasma method.

32. The method of claim 28, wherein the protective coating layer is deposited on said at least one surface via an ion plating method.

33. The method of claim 28, wherein the protective coating layer is deposited on said at least one surface at a temperature in the range of 200-1000° C.

34. The method of claim 33, wherein the protective coating layer is deposited on said at least one surface at a temperature in the range of 300-900° C.

35. The method of claim 34, wherein the protective coating layer is deposited on said at least one surface at a temperature in the range of 600-900° C.

36. The method of claim 34, wherein the protective coating layer has an adhesion strength which exceeds the cohesive strength of the surface protected by said coating layer.

37. The method of claim 28, wherein said at least one surface of the article is first protected by depositing at least a layer of pyrolytic boron nitride, pyrolytic graphite and/or carbon doped boron nitride on said surface.

38. An article manufactured by the method of claim 28.

39. The protective layer of claim 1, wherein the layer is a multilayer.

Patent History
Publication number: 20060165994
Type: Application
Filed: Jul 6, 2005
Publication Date: Jul 27, 2006
Applicant:
Inventors: George Dalakos (Niskayuna, NY), Toshiki Ebata (Osaka), Patricia Hubbard (Olmsted Twp, OH), Charles Iacovangelo (Clifton Park, NY), Jeffrey Lennartz (Cleveland, OH), Henry Marek (Clifton Park, NY), Yuji Morikawa (Ako-gun), Xiang Liu (Medina, OH)
Application Number: 11/175,236
Classifications
Current U.S. Class: 428/408.000
International Classification: B32B 9/00 (20060101);