METHOD OF ETCHING A HIGH ASPECT RATIO CONTACT

- Micron Technology, Inc.

Methods and an etch gas composition for etching a contact opening in a dielectric layer are provided. Embodiments of the method use a plasma generated from an etch gas composed of C4F8 and/or C4F6, an oxygen source, and a carrier gas in combination with tetrafluoroethane (C2F4) or a halofluorocarbon analogue of C2F4.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATION

This application is a divisional of U.S. patent application Ser. No. 11/969,443, filed Jan. 4, 2008, pending, the disclosure of which is hereby incorporated herein in its entirety by this reference.

TECHNICAL FIELD

Embodiments of the invention relate to gas chemistries and methods of plasma etching high aspect ratio contacts (HARCs) in oxide layers.

BACKGROUND OF THE INVENTION

In semiconductor devices, insulating layers such as silicon dioxide (SiO2), doped oxide such as BPSG, and silicon nitride, are used to electrically separate conductive layers, such as doped polysilicon, metals, refractory metal silicides, etc. High aspect ratio contact (HARC) etching is a key process for forming contact hole interconnections through insulating layers to an active device area or conductive layer in high density integrated devices. HARCs require etching processes that produce a vertical profile and a defined critical dimension (CD). Another application for HARC features in dielectrics is the formation of capacitor or container structures in stacked capacitor DRAM.

In a typical plasma etching, a substrate is positioned on a chuck in a gas chamber, an etchant gas is introduced into the chamber, and the chamber pressure is reduced. An energy source/power supply creates a charged electric or electromagnetic field through electrodes positioned within the chamber to energize the etchant gas into a plasma state. The etchant gas is transformed into a disassociated mixture of uncharged neutrals as well as electrons and positive ions. Typically, the positive ions are accelerated to the substrate by a radio frequency (RF) biased electrode sheath providing directionality for forming vertical contact hole profiles, and the chuck supporting the substrate acts as a bottom electrode and can be biased by a second RF power source. The ions react with the substrate thereby removing the exposed material from the semiconductor device.

Generally, standard processes for etching through silicon dioxide (SiO2) to underlying silicon and/or silicon nitride use fluorocarbon gas plasmas. The dissociation of the fluorocarbon molecules by the action of plasma generates active radicals and/or ions that act on the SiO2 substrate. For example, in some high density plasmas, CF+, CF2+ and CF3+ ions generated from CF3 and other CxFy radicals (where x is up to 11 and y is up to 15) are dominant etching ions for SiO2, with Ar+ ions sputtering CFx films on oxide, and less fluorinated radicals (e.g., CF2 and CF) are adsorbed on the sidewalls and bottom surfaces in the contact hole of the SiO2 during the etching process and polymerize to form a nonvolatile fluoropolymer layer that inhibits etching by the ions. Precise control of the balance between adsorbing radicals and etching ions during SiO2 contact plasma etching is important to simultaneously passivate the sidewalls of the opening and extend the etch front at the bottom of the opening. However, such control is difficult when using conventional fluorocarbon chemistries.

Problems including bowing and/or twisting of the openings often occur during HARC etches. FIGS. 1 and 2 illustrates a substrate fragment (e.g., wafer) designated generally as 10, showing formation of a contact hole 12 in a dielectric layer 14 to an underlying substrate layer 16 by prior art etching methods. Bowing, illustrated in FIG. 1, is generally formed by the reaction of free fluorine which accumulates on the sidewalls 18 of the contact opening 12 during etching (arrows ↓↓↓) and laterally attacks and etches the exposed sidewalls producing a characteristic bowing 20. During typical HARC etches, charge buildup along the sidewalls 18 of a narrow and deep opening can deflect incoming ions causing changes in the trajectory of those ions. Twisting, illustrated in FIG. 2, can be caused by asymmetric polymer deposition 22 along the sidewalls 18 during etching in conjunction with feature charging, which can cause a deflection of incoming etching ions and a changed trajectory (arrow) of the ions, resulting in the twisting or bending of the contact hole 12 from a perfectly vertical profile with the hole tending toward one side or the other. Twisting of the contact hole can lead to misalignment and an imperfect contact between a subsequently deposited conductive metal and an active area landing region 24, for example, in the underlying substrate 16. Deviations such as bowing and twisting can also result in a non-vertical contact hole and shorting of the contact to an adjacent contact or other structure.

It would be useful to provide a method and etchant gas for etching high aspect ratio openings in silicon oxide layers that overcomes these or other problems.

BRIEF DESCRIPTION OF THE DRAWINGS

Embodiments of the invention are described below with reference to the following accompanying drawings, which are for illustrative purposes only. Throughout the following views, the reference numerals will be used in the drawings, and the same reference numerals will be used throughout the several views and in the description to indicate same or like parts.

FIG. 1 illustrates a prior art processing that results in bowing of a contact hole during etching.

FIG. 2 illustrates a prior art processing that results in twisting of a contact hole during etching.

FIG. 3 illustrates an elevational, cross-sectional view of a portion of a substrate at a preliminary processing stage according to an embodiment of the present disclosure.

FIG. 4 is a cross-sectional view of the substrate depicted in FIG. 3 at a subsequent processing stage.

DETAILED DESCRIPTION OF THE INVENTION

The following description with reference to the drawings provides illustrative examples of devices and methods according to embodiments of the invention. Such description is for illustrative purposes only and not for purposes of limiting the same.

In the context of the current application, the term “semiconductor substrate” or “semiconductive substrate” or “semiconductive wafer fragment” or “wafer fragment” or “wafer” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure including, but not limited to, the semiconductive substrates, wafer fragments or wafers described above.

As used herein, the term “high-aspect ratio” refers to depth-to-width (or diameter) ratio of about 15:1 or more.

Embodiments of the invention relate to methods of plasma etching doped and undoped dielectric materials used in semiconductive microelectronic device processing to producing openings to fabricate high aspect ratio contacts or containers (HARCs). The invention provides processing parameters for the etching of a high aspect ratio contact hole that reduce bowing and twisting of the contact opening during etching, maintain a critical dimension (CD) for the entire depth profile of the contact hole, and produce a contact hole with a vertical profile that is substantially cylindrical with minimal taper. For example, a contact with a depth of about 2 μm, a top CD of 60 nm, and a bottom CD of about 45-60 nm would be considered as having the required CD profile control.

An embodiment of a method according to the invention is illustrated with reference to FIGS. 3 and 4. FIG. 3 illustrates a substrate fragment indicated generally with reference to numeral 10′ at a preliminary processing stage. The substrate fragment 10′ comprises an insulating or dielectric layer 14′ formed on a substrate layer 16′, and an active area or element 24′ (e.g., a diffusion area, contact, conductive line, etc.). The substrate fragment 10′ in progress can comprise a semiconductor wafer substrate or the wafer along with various process layers formed thereon, including one or more semiconductor layers or other formations, and active or operable portions of semiconductor devices.

The dielectric layer 14′ is formed to a selected thickness to be etched to define high aspect ratio contact hole, for example, a thickness of about 2-3 μm. The dielectric layer 14′ can be formed of undoped silicon dioxide (SiO2) or doped SiO2, for example, silicon oxide deposited from tetraethylorthosilicate (TEOS), borophosphosilicate glass (BPSG), borosilicate glass (BSG), and phosphosilicate glass (PSG), or other materials such as nitrides (e.g., silicon nitrides (SixNy) such as Si3N4) or silicon oxynitrides (SiOxNy), in a single layer or multiple layers. The underlying substrate layer 16′ compositionally dissimilar to the dielectric layer 14′, and can be composed of a nitride etch stop layer (e.g., Si3N4), a refractory metal nitride such as titanium nitride, tungsten nitride, etc.), a silicon dioxide layer with different doping than a silicon dioxide dielectric layer 14′, a silicon layer such as monocrystalline silicon or polysilicon, a doped silicon region, a metal silicide such as titanium silicide, a metal interconnect, or other material layer. In the illustrated example, substrate layer 16′ is SiO2 with a contact area 24′ (e.g., polysilicon).

An etch-resistant mask layer 28′, for example, photoresist or hard mask material, is formed over the dielectric layer 14′ and, as depicted, is patterned and etched to define openings 30′ that expose portions of the dielectric layer.

Referring to FIG. 4, the dielectric layer 14′ is etched to form a high aspect ratio contact opening 12′ (i.e., contact hole and via, or other opening such as a trench) extending to the underlying substrate layer 16′ for forming, for example, a contact hole for an interconnect level, a gate electrode, a capacitor electrode, a via, etc., in the fabrication of various devices or circuits, such as SRAMs, DRAMs, etc. Typically, the contact opening 12′ is etched to a high aspect ratio of about 1:15 to about 1:40, or about 1:20 to about 1:30, with a critical dimension (CD) (width) of less than about 100 nm or about 25-70 nm, and depth (d) of about 1-3 μm, for example.

In embodiments of the invention, the dielectric layer 14′ is etched to form a contact hole 12′ using a HARC gas plasma (arrows ↓↓↓) generated according to the invention utilizing C4F8 (octafluorocyclobutane), C4F6 (hexafluorobutadiene) or a mixture thereof, an oxygen source, and an inert gas in combination with C2F4 (tetrafluoroethane). The C4F8, C4F6 and C2F4 gases generate CF2 radicals as a gas precursor for polymer deposition and CF3+ ions to etch the silicon oxide layer. In some embodiments, the etchant gas consists essentially of or consists of C4F8 and/or C4F6, an oxygen source(s), inert gas(es), and C2F4.

The oxygen source can be, for example, oxygen (O2), carbon monoxide (CO), or mixtures thereof, in amounts that do not diminish the performance of the etchant gases. The oxygen source will react with the carbon and fluorine-containing ions (CFx) within the plasma to adjust the amount of carbon and fluorine that attaches to the sidewalls 18′, and to suppress “etch stop” that can result from carbon deposits on the bottom surface 26′ of the contact openings 12′ hole.

Optionally, the etchant gas includes an inert carrier gas, which is known in the art, such as argon (Ar), xenon (Xe), neon (Ne), krypton (Kr) and helium (He). Carrier gases are present in the etchant to dilute the etchant gases so that excessive etching or deposition does not occur, to stabilize the plasma being generated, and to enhance the uniformity of the etching process. In some embodiments, xenon (Xe), neon (Ne), and/or krypton (Kr) are used as a carrier gas in lieu of or in combination with argon (Ar).

In embodiments of the invention, the fluorocarbon feed gas is composed C4F8/O2/Ar/C2F4, C4F6/O2/Ar/C2F4 or C4F8/C4F6/O2/Ar/C2F4. In other embodiments, O2 is combined with or replaced by CO, and/or Ar is replaced by or combined with Xe, Ne, Kr and/or He. In various embodiments, the feed gas can comprise, consist essentially of or consist of the foregoing gases.

The etch gas can optionally include one or more additional fluorocarbon gases having the general formula CxFy where x=1-6 and y=2-8, for example, CF4 (carbon tetrafluoride), C2F6 (hexafluoroethane), C3F6 (hexafluoropropylene), C3F8 (octafluoropropane), C5F8 (octafluorocyclopentene) and C6 F6 (perfluorobenzene) among others, and combinations thereof.

It was found that adding C2F4 during a HARC etch utilizing an etch chemistry of C4F8 or C4F6 with an oxygen source and inert gas, provides the deposition of a thin and conformal polymer layer 22′ to passivate the sidewalls 18′ of the contact opening 12′ during etching to protect against lateral etching and minimize twisting and bowing of the contact hole, particularly during an overetch to remove remaining residual oxide over the substrate layer 16′, without having to compensate for the added polymerizing gas (i.e., C2F4). In some embodiments, the conformal polymer layer on the sidewalls of the opening has a thickness of about 5-20 Å for the depth profile of the opening.

The CFx-based polymer deposition that results from the addition of C2F4 to a C4F8 and/or C4F6 etch gas provides an improved passivation layer that is conformal and symmetrical, and deposits over the sidewalls as the contact hole is etched. The conformal polymer layer prevents ion-driven lateral etching or “bow” in localized areas of the sidewall to maintain critical dimension (CD) throughout the depth profile of the contact hole and reduce container deformation. The deposition of the conformal polymer layer on the sidewalls of the contact hole can also serve as a weak conduction path to dissipate charge at the bottom of the contact hole and reduce twisting. Also, symmetric polymer deposition reduces the probability of fowling a lateral electric field, which reduces twisting. Irregularities in intrafeature plasma-deposited polymer materials are known to transfer into the substrate to be etched. The very regular and conformal layer of polymer material that is generated by the present C4F8/C4F6/C2F4-based HARC chemistry reduces deformations and defects in the contact hole, while the simultaneous ion bombardment suppresses absorption or deposit of polymer on the etch front at the bottom of the contact hole, thus facilitating etching without impacting taper.

Investigators have described patterning SiO2 using a gas mixture of trifluoroiodomethane (CF3I) and tetrafluoroethane (C2F4). However, CF3I is not a preferred etch chemistry because it is a highly corrosive gas and does not perform as well in achieving the desired contact hole profile. The present etch chemistries overcome those drawbacks and achieve a dynamic equilibrium such that polymer is deposited and removed at about the same rate, which prevents etch stop and provides continual passivation whereby the sidewalls are not exposed, this preventing a bow effect. The etching gases can also be controlled to avoid deposition of excess polymer residue that can cause twisting of the contact hole (e.g., as in FIG. 2) and to limit the accumulation of plasma-deposited polymer residues on the bottom surface 26′ of the contact opening 12′.

In embodiments of the invention, the etch gas plasma can be a mixture of gases for high aspect ratio etching of silicon oxide at a significantly higher rate than a nitride and/or silicon substrate layer 16′, that is, the etch gas plasma is highly selective to nitrides and silicon. Such an etch gas plasma allows the etching of an oxide layer 14′ down to a substrate layer 16′ without substantially damaging nitride or silicon layers.

In other embodiments, the etch gas can include a hydrocarbon such as CH4 (methane) and/or one or more hydrofluorocarbon feed gases having the general formula CxHyFz where x=1-6, y=1-6, and z=1-6, such as CHF3 (trifluoromethane), CH2F2 (difluoromethane), CH2F4 (tetrafluoroethane), CH3F (methyl fluoride), C2HF5 (pentafluoroethane), C2H2F4 (tetrafluoroethane), C2H5F (ethyl fluoride) C3HF5 (pentafluoropropene), C3HF7 (heptafluoropropane), C3H2F6 (hexafluoropropane), C3H3F3 (trifluoropropene), C3H3F5 (pentafluoropropane), C3H4F4 (tetrafluoropropane), C4HF7 (heptafluorobutene), C4HF9 (nonafluorobutane), C4H2F6, (hexafluorobutene), C4H2F8 (octafluorobutane), C5HF9 (nonafluoropentene), C5HF11 (undecafluoropentane), or any hydrofluorobenzene (e.g., C6H3F3), among others. Embodiments of an etch gas incorporating a hydrofluorocarbon gas include, for example, C4F8/CHF3/O2/Ar, among others. The inclusion of a hydrocarbon (e.g., CH4) and/or a hydrofluorocarbon feed gas is useful in etching dielectrics other than SiO2, including, for example, silicon nitride (e.g., SixNy such as Si3N4).

The benefit of using C2F4 is that the deposited polymer is a CF-based polymer that is easily stripped/cleaned compared to Si-containing polymers formed by processes using an etch gas such as SiH4, SiF4 or SiCl4. The CF-based polymer generated from C2F4 is very conformal, which allows the C2F4 gas to be added without having to alter the amount of O2 in the C4F8/C4F6 etch gas to compensate for the added polymerizing gas (C2F4). In addition, the use of C2F4 does not result in over-deposit that can “choke off” the contact opening (i.e. breadloafing).

Although embodiments of the etch plasma gas formed with C2F4 gas provides the desired conformal polymer deposition during etching, C2F4 gas is highly flammable and unstable when purified and can spontaneously polymerize in gas lines and during storage if exposed to trace amounts of O2. C2F4 can be stabilized but the stabilizing agents such as d-limonene (C10H16), carbon dioxide (CO2) or hydrochloric acid (HCl), can adversely effect the etch process. In addition, the expense of using C2F4 can be prohibitive.

In other embodiments of the invention, a contact opening is etched in a dielectric layer using an etch gas that is composed of C4F8 and/or C4F6, an oxygen source (e.g., O2 and/or CO), inert gas(es), and a halofluorocarbon that will generate C2F4 to provide the same or similar polymer deposition during a dry etch of oxide as pure C2F4 fed into the C4F6—C4F8 etch plasma.

The halofluorocarbon utilized in the etch gas is at least one of 1,2-dibromo tetrafluoroethane (BrCF2CF2Br, or C2F4Br2), 1,2-diiodo tetrafluoroethane (ICF2CF2I, or C2F4I2) and diiodo difluoromethane (CF2I2). The foregoing halofluorocarbons are stable liquid sources that will dissociate into CF2 radicals in a plasma. C2F4Br2 and C2F4I2 will dissociate under exposure to UV light (e.g., 266-193 nm) to form C2F4 which, in a plasma, forms two units of CF2 radicals.

The use of C2F4 or the foregoing halofluorocarbons in a C4F6 and/or C4F8 etch gas plasma enabling more precise control of the CF2 radical generation in the plasma gas, resulting in the formation of a regular and conformal polymer layer on the sidewalls of the contact opening during etching to minimize bowing and twisting and improve profile control of the contact hole. The foregoing halofluorocarbons function as analogues of C2F4 and provide a process impact and polymer deposition during a dry etch similar to pure C2F4 that is fed into a C4F6 and/or C4F8 etch plasma, but with greater stability and without the problems posed by pure C2F4 which is flammable and can explosively polymerize upon exposure to oxygen.

The plasma may be generated utilizing any known suitable etching device, such as an etcher available, for example, the APPLIED CENTURA ENABLER® Etch system from Applied Materials, Inc., the 2300 Exelan system from Lam Research Corporation, a TEL Unity SCCM Dielectric Etch Chamber from Tokyo Electron Limited, or any other high density plasma etcher. Examples of equipment and techniques for plasma etching are described in U.S. Pat. No. 6,123,862 to Donohoe et al., which is commonly assigned. It should be readily apparent to one skilled in the art that depending upon the particular etching apparatus utilized to generate the plasma various etch parameters such as the gas mixture, temperature, RF power, pressure, and gas flow rate, among others, can be varied to achieve the desired etch rate and etch characteristics of the plasma system.

A substrate 10′ (e.g., wafer) having a dielectric layer 14′ to be etched is placed into a plasma reaction chamber of an appropriate apparatus for conducting the etching process, and the etchant gases are generally flowed into a plasma reaction chamber, and power is applied to induce a plasma from the etchant gases. In general, a plasma is formed over the surface of the wafer and a bias power is supplied to the substrate containing the wafer or to a support or chuck supporting the substrate, to accelerate ions from the reactant gases toward the surface. Species formed from the etchant gases (e.g., fluorine ions) impinge upon and react with the areas of the dielectric layer 14′ exposed through the patterned etch-resistant mask layer 28′ to etch away and advance the etch front. By-products, which may be volatile, can be exhausted from the reaction chamber through an exit port.

The etch process is conducted under conditions that simultaneously balance the etch process, i.e., plasma generating reactive neutral and ionic species, which etch dielectrics if the ions (CF3+) possess sufficient energy supplied by the bias power at the wafer and deposit polymer material (via CF2 radicals) on the sidewalls 18′ of the contact opening or hole 12′. The bottom surface 26′ is bombarded by ions during an overetch in order to remove any remaining residual oxide over the substrate layer 16′.

The gas flows of C4F8, C4F6 and C2F4 are controlled to optimize the CF2 radical and CF3+ ion densities. In embodiments of the invention of a C4F8/C2F4 or C4F6/C2F4 etch gas, the gas flow rates into the plasma chamber are about 10-100 sccm for C4F8 or C4F6 (or about 50-70 sccm), about 50-150 sccm for C2F4 (or about 80-100 sccm), about 10-60 sccm for O2 (or about 20-40 sccm), and about 500-1500 sccm for inert gas(es) (or about 900-1300 sccm). Generally, the ratio of C4F8:C2F4 is about 0.25-1.5:1 (or about 0.5-1:1), and the ratio of C4F6:C2F4is about 0.25-1.5:1 (or about 0.5-1:1).

In embodiments of a C4F8/C4F6/C2F4 etch gas, the gas flow rates are typically about 0-100 sccm for C4F8 (or about 20-70 sccm), about 0-100 sccm for C4F6 (or about 20-70 sccm), about 50-150 sccm for C2F4 (or about 80-100 sccm), about 10-60 sccm for O2 (or about 20-40 sccm), and about 500-1500 sccm for inert gas(es) (or about 900-1300 sccm), the combined total flow rate for C4F6 and C4F8 being a range of about 30-100 sccm (or about 50-70 sccm). Generally, the ratio of C4F8 and C4F6(combined):C2F4is about 0.25-1.5:1 (or about 0.5-1:1).

In embodiments of the invention utilizing a halofluorocarbon to replace C2F4, the etch gas flow rates into the plasma chamber are about 10-100 sccm for C4F8 or C4F6 (or about 50-70 sccm), about 50-150 sccm for C2F4Br2 or C2F4I2 (or about 80-100 sccm), about 100-300 sccm for CF2I2 (or about 160-180 sccm), about 10-60 sccm for O2 (or about 20-40 sccm), and about 500-1500 sccm for inert gas(es) (or about 900-1300 sccm). The ratio of C4F8 or C4F6:C2F4Br2 or C2F4I2 is about 0.25-1.5:1 (or about 0.0.5-1:1), and the ratio of C4F8 or C4F6:CF2I2 is about 0.25-1.5:1 (or about 0.5-1:1).

In other embodiments, the etch gas flow rates into the plasma chamber are about 0-100 sccm for C4F8 (or about 20-70 sccm) and about 0-100 sccm for C4F6 (or about 20-70 sccm) with the combined total flow for C4F6 and C4F8 at about 30-100 sccm (or about 50-70 sccm), about 50-150 sccm for C2F4Br2 or C2F4I2 (or about 80-100 sccm), about 50-150 sccm for CF2I2 (or about 80-100 sccm), about 10-60 sccm for O2 (or about 20-40 sccm), and about 500-1500 sccm for inert gas(es) (or about 900-1300 sccm). The ratio of C4F8 and C4F6:C2F4Br2 or C2F4I2 is about 0.25-1.5:1 (or about 0.5-1:1), and the ratio of C4F8 and C4F6:CF2I2 is about 0.25-1.5:1 (or about 0.5-1.0).

The etch gas can optionally include additional fluorocarbon gas(es) (e.g., CF4, C2F6, etc.) with gas flow rates up to about 50 sccm (or about 10-50 sccm).

Optionally, the etch gas can also include about a hydrocarbon such as CH4. Typical flow rates for CH4 are up to about 5 sccm (or up to about 20 sccm), and for hydrofluorocarbons up to about 5 sccm (or up to about 20 sccm).

The flow rates of the various constituent gases of the etch gases can be adjusted as needed to provide suitable etching according to the methods of the invention, and to accommodate the size of the etching chamber and the wafer being etched. The power level of the plasma associated with the etch chamber and the pressure in the etch chamber should be sufficient to maintain the etching process. The source power level typically ranges from about 1000-3000 watts, the bias power level ranges from about 2000-7000 watts and the pressure generally ranges from about 10-30 mTorr.

The present invention fours contact holes having a high aspect ratio without causing bowing or twisting of the hole, with the hole having a diameter (CD) that is substantially the same throughout the depth of the hole.

After the etch of the contact hole 12′ is completed, the mask layer 28′ and polymer layer 22′ can then be removed (stripped), for example, by dry etching with an oxygen plasma ashing step, or by a wet etch with a Piranha clean (H2SO4/H2O2).

The substrate 10′ can undergo post-etch processing steps that are known in the art to fabricate desired components. For example, the resulting contact holes 12′ can be further processed, for example, by filling with a metal or conductive material such as copper, aluminum, silicon, Ti3N4, among others, to form contacts or conductive lines, for example, to an underlying active area, contact, or conductive line, or with a metal-insulator-metal-stack to form capacitors with a dielectric material such as Al2O3, HfO2, ZrO2, SrTiO3, and the like, in the fabrication of integrated circuit devices such as memory devices. Finished semiconductor wafers can be cut into dies, which may then be further processed into integrated circuit chips and incorporated in electronic devices.

Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement which is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover any adaptations or variations that operate according to the principles of the invention as described. Therefore, it is intended that this invention be limited only by the claims and the equivalents thereof. The disclosures of patents, references and publications cited in the application are incorporated by reference herein.

Claims

1. An etch gas for etching a dielectric material, the etch gas comprising at least one of C4F6 and C4F8, an oxygen source, an inert gas, and C2F4.

2. The etch gas of claim 1, further comprising an additional fluorocarbon gas having the general formula CxFy where x=1-6 and y=2-8.

3. The etch gas of claim 1, further comprising a hydrofluorocarbon gas having the general formula CxHyFz where x=1-6, y=1-6 and z=1-6, or a mixture thereof.

4. An etch gas for plasma etching a dielectric material, the etch gas comprising at least one of C4F6 and C4F8, an oxygen source, an inert gas, and a halofluorocarbon selected from the group consisting of C2F4Br2, C2F4I2 and CF2I2.

5. The etch gas of claim 1, consisting essentially of the at least one of C4F6 and C4F8, oxygen source, inert gas, and C2F4.

6. The etch gas of claim 4, further comprising at least one of an additional fluorocarbon gas having the general formula CxFy where x=1-6 and y=2-8, and a hydrofluorocarbon gas having the general formula CxHyFz where x=1-6, y=1-6 and z=1-6.

7. The etch gas of claim 1, wherein the oxygen source comprises oxygen (O2), carbon monoxide (CO), or mixtures thereof.

8. The etch gas of claim 1, wherein the inert gas comprises argon (Ar), xenon (Xe), neon (Ne), krypton (Kr), or helium (He).

9. The etch gas of claim 1, further comprising a stabilizing agent.

10. The etch gas of claim 1, wherein a ratio of C4F8:C2F4 is from about 0.25:1 to 1.5:1.

11. The etch gas of claim 1, wherein a ratio of C4F6:C2F4 is from about 0.25:1 to 1.5:1.

12. An etch gas consisting of at least one of C4F6 and C4F8, an oxygen source, an inert gas, and C2F4.

13. The etch gas of claim 12, wherein the etch gas consists of C4F8, oxygen (O2), Ar, and C2F4.

14. The etch gas of claim 12, wherein the etch gas consists of C4F6, oxygen (O2), Ar4 and C2F4.

15. The etch gas of claim 12, wherein the etch gas consists of C4F8, C4F6, oxygen (O2), Ar, and C2F4.

16. An etch gas consisting of at least one of C4F6 and C4F8, an oxygen source, an inert gas, and a source of C2F4.

17. The etch gas of claim 16, wherein the source of C2F4 comprises at least one of 1,2-dibromo tetrafluoroethane, 1,2-diiodo tetrafluoroethane, and diiodo difluoromethane.

Patent History
Publication number: 20140077126
Type: Application
Filed: Nov 20, 2013
Publication Date: Mar 20, 2014
Applicant: Micron Technology, Inc. (Boise, ID)
Inventors: Russell A. Benson (Boise, ID), Theodore M. Taylor (San Diego, CA), Mark W. Kiehlbauch (Boise, ID)
Application Number: 14/084,854
Classifications
Current U.S. Class: Etching Or Brightening Compositions (252/79.1)
International Classification: C09K 13/00 (20060101);