MULTI-STATION SEQUENTIAL CURING OF DIELECTRIC FILMS

- Novellus Systems, Inc.

The present invention addresses provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature and other conditions may be independently modulated in each operation. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film. In certain embodiments, the curing takes place in a multi-station UV chamber wherein UV intensity and substrate temperature may be independently controlled at each station.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional application claiming priority under 35 U.S.C. §120 from pending U.S. patent application Ser. No. 13/886,694, filed on May 3, 2013, attorney docket no. NOVLP197D1/NVLS003262D1, titled “MULTI-STATION SEQUENTIAL CURING OF DIELECTRIC FILMS,” which, in turn, is a divisional application claiming priority under 35 U.S.C. §120 from pending U.S. patent application Ser. No. 11/688,695, filed on Mar. 20, 2007, attorney docket no. NOVLP197/NVLS-3262, titled “MULTI-STATION SEQUENTIAL CURING OF DIELECTRIC FILMS,” which, in turn, is a continuation-in-part of and claims priority under 35 U.S.C. §120 to pending U.S. patent application Ser. No. 11/369,311, filed on Mar. 6, 2006, attorney docket no. NOVLP154/NVLS-3121, titled “Method For Reducing Stress In Porous Dielectric Films,” which, in turn, is a continuation-in-part of and claims priority under 35 U.S.C. §120 to issued U.S. patent application Ser. No. 11/115,576, filed on Apr. 26, 2005, attorney docket no. NOVLP127/NVLS-3044, titled “Single-Chamber Sequential Curing Of Semiconductor Wafers,” now U.S. Pat. No. 8,137,465, issued on Mar. 20, 2012, all of which are incorporated herein by reference in their entireties for all purposes.

FIELD OF THE INVENTION

This invention relates to methods for preparing and treating dielectric films. More specifically, the invention relates to exposing films to ultraviolet radiation and other process conditions to facilitate a desired change in film properties.

BACKGROUND

Many layers of dielectric films are used to make an integrated circuit. IC manufacturing requires dielectric films to have certain properties in order for the circuit to function as designed. For example, there is a general need for materials with low dielectric constants (low-k). Using low-k materials as the intermetal dielectric (i.e., the layer of insulator separating consecutive levels of the conductive metal interconnects) reduces the delay in signal propagation due to capacitive effects, otherwise know as the RC delay. A dielectric material of low dielectric constant will have low capacitance, and hence the RC delay of an IC constructed with such a material will be lower as well.

As another example, there is a general need for materials with specific tensile or compressive stresses. Increasing shallow trench isolation (STI) film tensile stress increases transistor drain current and device performance because the electron and hole mobilities are higher. Other applications require dielectric films to have compressive stress. These and other properties may be met on the film as deposited, or after treatment.

One such treatment may be a thermal process in which the substrate is heated to a temperature for a time. A thermal treatment may remove unwanted particles from the film, or change its stresses. These thermal processes, however, have certain difficulties. In particular, substrate temperatures generally need to be high (i.e., greater than about 400° Celsius) with exposure times typically on the order of hours. As is well known in the field, these conditions can damage copper containing devices, especially in the application wherein a low-k dielectric is being cured. Also, the use of nickel silicide precludes inducing film stress by using temperatures above 400° C. while some SiN films have a cure temperature up to 480° C.

To overcome these disadvantages of thermal processing, another technique has been developed, which involves exposing the film to UV radiation. Irradiation of the low-k or spacer nitride films permits modulation of desired film properties such as dielectric constant or film stress at lower temperatures. However, the use of UV radiation in such processes may result in deleterious side-effects unless special care is taken to deliver the precise wavelengths and to maintain the wafer temperature at an optimal level.

What is needed therefore are improved methods for treating dielectric films with UV radiation to obtain desired film properties.

SUMMARY

The present invention addresses this need by providing improved apparatus and methods of preparing a dielectric material on a substrate. The apparatus involves a multi-station chamber wherein radiation intensity, radiation wavelength, substrate temperature, and gas flow may be independently controlled at each station. Each station may have different optical components selected to filter out or block certain radiation wavelengths, allow certain radiation wavelengths through, or to increase or reduce the intensity of the radiation. In certain embodiments, the apparatus may be a semiconductor processing tool with multiple chambers having one or more stations wherein radiation intensity, radiation wavelength, substrate temperature, and gas flow may be independently controlled at each station.

The methods involve multiple operation ultraviolet curing processes in which radiation intensity, radiation wavelength, wafer substrate temperature and other conditions may be independently modulated in each operation. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film. In certain embodiments, a film containing silicon nitride is exposed to UV radiation and heat in a first operation to increase tensile stress and wafer temperature. In a second operation, the film is exposed to UV radiation to further increase tensile stress in the film. In certain embodiments, a spin-on ultra low k film is exposed to UV radiation in a first operation to evolve solvents on the film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the film.

BRIEF DESCRIPTION OF THE DRAWINGS

The following detailed description can be more fully understood when considered in conjunction with the drawings in which:

FIG. 1 is a flowchart summarizing stages of a general process in accordance with the present invention.

FIG. 2 is a rough schematic representing UV absorption as a function of wavelength for certain processes in accordance with the present invention.

FIGS. 3 A and B are schematic representations of an apparatus suitable for UV porogen removal in accordance with certain embodiments of this invention.

FIG. 4 is a schematic representation of a UV source and filter.

DETAILED DESCRIPTION Introduction

In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail to not unnecessarily obscure the present invention. While the invention will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the invention to the embodiments.

The present invention involves a multi-station semiconductor processing chamber. Each station has a wafer support and a radiation source. The radiation source has one or more light generators and one or more optical components. The radiation intensity and the wavelength output of the radiation source may be independently controlled at each station. The wafer support temperature and gas flow may also be independently controlled at each station. In certain embodiments, the present invention pertains to a multi-chamber semiconductor processing tool having at least one wafer processing station in each chamber and capable of independently control radiation intensity, radiation wavelengths, and wafer temperature at each station.

The present invention also involves processes to prepare and/or cure dielectric films on substrates by exposure to UV radiation. Effects of exposure to UV radiation or UV curing may include increased stress of the materials, increased cross-linking of the bonds of the material and densification the material. UV radiation is also used to remove porogen from a precursor layer containing a porogen and structure former or backbone, thereby forming a porous dielectric film. UV radiation can also be used to evolve solvents present in a film, such as a spin-on ULK film. It is often desirable to modulate one or more of these effects in preference to one another—for example, for dense low-k carbon-doped oxide (CDO) films, it is desirable to increase the mechanical properties of the films by cross-linking without a large increase in the stress of the film whereas for silicon nitride films, a large increase in the stress may be desirable. Similarly, in preparing porous low-k films from precursor layers, it may be desirable to remove porogen from a precursor layer and strengthen the backbone without causing the film thickness to shrink, and thereby causing a concomitant increase in stress. Further, in the case of porous low-k films, it may be desirable to remove the porogen from the film before its cross-linking commences.

Conventional UV curing processes use a single processing condition, e.g. 100% UV intensity and temperature of 400° C., that may be suitable for one or some of the effects listed above but may also cause an undesired effect. For example, porogen evolution and film strengthening occur at slightly different wavelengths. Using a broad spectrum UV radiation, SH—CH3 bonds in the film would cross-link rapidly. As a consequence of this cross-linking the film shrinks and rapidly densifies, trapping porogen in the matrix and preventing its successful evolution. This undesired effect causes the film to have a higher dielectric constant than desired.

Methods of the invention modulate these effects in preference to one another. In certain embodiments, the methods involve multiple operation cure processes, each operation having a particular desired result or effect, with conditions such as UV intensity and substrate temperature optimized for the particular desired result. Also in certain embodiments, optimizing conditions for a desired effect involves independently controlling the UV intensity, UV wavelength range, and the substrate temperature in each operation (i.e., so that the substrate temperature is decoupled from the UV intensity and wavelength). The methods of the invention may be performed in multi-station cure chambers, each station having a UV light source, and conditions at each station optimized for the desired result at that station.

UV curing is used to drive out porogen from composite porogen-CDO films, leaving a porous CDO matrix with a low k-value, generally between 2.0 and 2.6. In a particular embodiment, the methods of the present invention are used to prepare dielectric films from precursor films or layers that contain a structure former that serves as a backbone of a porous network, and a porogen, which generates the porous regions within the porous network. The methods involve a multiple operation UV cure process in which porogen is removed in an initial exposure (or exposures) to UV radiation to form a porous dielectric film. This operation tends to make the film softer. Once the porogen is removed, the film is exposed to UV radiation to increase cross-linking within the film, thereby increasing the hardness. Films produced by these methods have lower stress than films produced using a single cure operation, while having comparable hardness and modulus as those films. The UV exposure characteristics of these operations are different so as to accomplish different effects on the film.

FIG. 1 is a flow chart depicting generic operations in accordance with the present invention. Initially, a wafer is provided to a semiconductor processing tool 101. This wafer may or may not have a film deposited on it that will be the subject of the UV treatment. If the wafer does not include the film to be treated, an optional step would be to deposit such film onto the wafer 103. This deposition may be performed in a separate chamber or in a first station of a multi-station chamber. Based on the type of film to be treated and processing to be achieved, the wafer is then exposed to radiation under a first set of exposure conditions 105. These conditions may be radiation intensity, radiation wavelength(s) (either a single wavelength may be used or a spectrum or a range of wavelengths), wafer temperature, and gas flow. These conditions may be controlled independently from each other from operation to operation. In a second operation, the wafer is exposed to radiation at a second set of conditions 107. Typically one or more of these conditions will be different from operation to operation, and additional operations may be used to vary one or more of these conditions 109. An operation may include transferring the wafer between stations, so that the same operation is performed at more than one station, but under the same conditions.

An operation covering more than one station may be preferable in some cases to average out any radiation non-uniformity. Because the wafer is flat and circular, a light generator, usually tubular, cannot uniformity irradiate all parts of the wafer. Using optical components, a plurality of light generators, and carefully designing the layout of these generators, the radiation across the wafer can be made more uniform, but not perfectly. By exposing wafers in subsequent stations under the same exposure conditions but in a different relative orientation (between the light source and the wafer), any spots of non-uniformity are changed from station to station. The generators may be laid out and relative orientation changed such that the total exposure from all of the light sources in the various stations is uniform over the wafer. After the irradiation operations, the wafer is removed from the semiconductor tool 111. However, the wafer may experience more processing before it is removed, for example, the wafer may be cooled, or more films may be deposited, before the wafer exits the semiconductor processing tool.

In certain embodiments, the UV cure processes described herein improve wafer throughput by reducing UV exposure time and/or parallel processing of wafers. For example, in one embodiment, having a dedicated porogen removal step reduces required UV exposure time to harden the film by 75% resulting in a three-fold improvement in wafer throughput in the UV cure station.

Reference is made throughout the specification to multiple operations in which porogen is removed from a precursor film in an initial operation or operations, and cross-linking (and hardness) is increased in a subsequent operation or operations and for which temperature and UV intensity differentiate the porogen removal and cross-linking operations. As indicated, these processes may be used to produce low stress porous dielectric films having good mechanical strength. However, the multiple operations may also be used for other UV curing processes in which exposure conditions differ from stage to stage to increase any of the effects of UV radiation in preference to any other effect. Some of these UV curing processes include increasing the tensile stress in nitride film at different wafer temperatures and treatment spin-on ULK film to evolve solvent and to harden the film.

Forming the Precursor Film

Certain embodiments of the present invention involve depositing onto a wafer the film to be irradiated. Different types of film may be deposited, depending on process requirements. One such film is the low-k dielectric film. A precursor film or layer that contains a “structure former”, typically a dielectric material, is deposited with a porogen, which generates the porous regions within the dielectric material. In a method of this invention, the porogen is removed from the precursor film to create a porous low-k dielectric layer. Within the precursor film, the porogen resides in locations that will subsequently become void locations in the final dielectric film.

The precursor film may be formed by any of numerous techniques. In some methods, the structure former and porogen are co-deposited in one process. Any suitable deposition technique may be used, including spin-coating processes, print-on, dip coating, thermal process and chemical vapor deposition—particularly plasma enhanced chemical vapor deposition (PECVD). In alternative methods, the structure former and porogen are deposited separately in a two-phase process. For example, in some mesoporous films, a template-forming precursor, solvent and catalyst are mixed and applied by spin-coat or print-on methods to form a template in a first process phase, then a silica-forming precursor is introduced to the formed template in a second process step such as supercritical infusion into a polymer matrix. Depending on the application, the thickness of the precursor film may range between about 15 nanometers and 3 micrometers.

Generally, a porogen is any removable material that defines void regions in a dielectric matrix. Frequently, though not necessarily, the porogen is an organic material. In methods of the present invention, the porogen is removed from the precursor film by a UV mediated method. In a first approach, the UV light directly interacts with the porogen to chemically decompose or otherwise modify the porogen and produce products that are more easily removed than the porogen itself. In another approach, the UV exposure occurs in the presence of oxygen to create an oxidizing environment (e.g., ozone and/or oxygen radicals) that oxidizes the porogen. The oxidation products are more easily removed than the porogen itself. Note that in this approach, some UV radiation may interact directly with the porogen as well. In general, the UV radiation is tuned for absorption by the porogen in the first approach and tuned for oxygen activation in the second approach. Thus, in the first approach, the UV radiation preferably has a high intensity component at a wavelength (or range of wavelengths) at or near an absorption peak of the porogen. Of course, the porogen may be chosen to match the characteristics of an available UV source.

In some cases the porogen is randomly distributed throughout the precursor film and other cases it is ordered in a repeating structure throughout the film. In the case of an ordered porous or mesoporous dielectric matrix, the porogen is frequently referred to as a “template.” One type of ordered porogen, for example, is a block copolymer that has chemically distinct components (e.g. PEO polyethylene oxide and PPO polypropylene oxide) that segregate into separate phases. The discussion herein will refer to porogen and porogen materials in general and are intended to include any type of porogen, ordered or non-ordered, organic or inorganic, unless otherwise specified.

Frequently, the porogen is a hydrocarbon. The following is a non-comprehensive list of precursor films (listed by type of porogen molecules) suitable for the present invention. “Low temperature porogens” are deposited below about 200 degrees C. and “high temperature porogens” are deposited above about 200 degrees C.

Low Temperature Porogens High Temperature Porogens Aldehydes: CH2O, CH3CHO Alkenes: C2H4, CH3—CH═CH2, etc Alkenes: C2H4, CH3—CH═CH2, etc Terpene family compounds (e.g. alpha-terpinene) di-tert-butyl silane Terpene derivatives (such as terpinol) Esters Compounds with multiple active sites (e.g., ENB)

One preferred class of porogens is the polyfunctional cyclic non-aromatic compounds, particularly alpha-terpinenes (ATRP). Suitable alpha-terpinene derivatives include, for example, alpha-terpinene itself, substituted alpha-terpinenes, and multi-ring compounds containing the alpha-terpinene nucleus. Other compounds include functional groups such as —CH═CH2, —CH═CH—, —C≡CH, —C≡C—, —C═O, —OCH3. A typical example of these compounds is 1,2,3,4-tetramethyl-1,3-cyclopentadiene (TMCP) (C9H14). Three-dimensional multi-ring compounds such as 5-ethylidene-2-norbornene (ENB) are also suitable. Another ATRP compound that is preferred is D-limonene.

In some cases, the porogen and structure former reside in the same compound. That is, the porogen is a removable moiety in a compound that contains moieties serving as structure formers covalently bonded to moieties serving as the porogen. Nominally, the porogen moiety is a large bulky organic substituent that will leave pores in the resulting dielectric film. Examples of such species are organic silanes such as di-tert-butylsilane, phenyldimethylsilane, and alkoxysilanes such as 5-(bicycloheptenyl)methyldimethoxysilane (BMDS) and 5-(bicycloheptenyl)triethoxysilane (BTS) (SiC13O3H24). These compounds may be deposited using CVD or spin on methods, for example.

As indicated, the structure former serves as a backbone for the resulting porous low-k film. Many different chemical compositions may be used as the structure former. In some embodiments, the composition includes silicon and oxygen. Sometimes it also includes carbon and/or other elements and even metals. For relatively thick precursor layers, it will sometimes be desirable to use structure formers that are not opaque to the UV radiation.

Examples of precursors for structure formers include silanes, alkylsilanes (e.g., trimethylsilane and tetramethylsilane), alkoxysilanes (e.g., methyltriethoxysilane (MTEOS), methyltrimethoxysilane (MTMOS) diethoxymethylsilane (DEMS), methyldimethoxysilane (MDMOS), trimethylmethoxysilane (TMMOS) and dimethyldimethoxysilane (DMDMOS)), linear siloxanes and cyclic siloxanes (e.g. octamethylcyclotetrasiloxane (OMCTS) and tetramethylcyclotetrasiloxane (TMCTS)). Note that one example of a silane is di-tert-butylsilane, described above.

The thickness of the precursor film (and hence the resulting dielectric layer) depends upon the ultimate application. For an interlayer dielectric or packaging application, the thickness may range from 100 angstroms up to about 2 to 3 microns. In some cases, extra thickness is required to provide some amount of sacrificial dielectric to accommodate a subsequent planarization operation. In some cases, the thickness of the precursor layer may be driven in part by the ability of the UV radiation to penetrate the film and remove the porogen. Therefore relatively thinner precursor layers may be required for some processes.

In certain embodiments, a silicon nitride (SiN) film may be deposited. Silicon nitride may be used in place of silicon dioxide for top layer protection because it is harder and has better hermeticity, etch selectivity, and protects regions below it against oxidation. Silicon nitride films may be deposited with a LPCVD (low-pressure chemical vapor deposition) or a PECVD (plasma-enhanced chemical vapor deposition) process. The process to deposit silicon nitride is well known in the art. UV radiation may be used to change the stress properties of the silicon nitride film, generally to increase its tensile stress. In some cases, the film deposition and the UV treatment may occur in the same semiconductor processing tool.

In certain embodiments, a spin-on film may be deposited onto the wafer. As its name states, the spin-on ULK film is applied to the wafer via a spinning process. First a liquid solution containing the film is applied to the wafer, and the wafer is spun to evenly spread the liquid solution to all parts of the wafer. After the film is applied to the wafer, it is cured to drive-off the solvent; complete chemical reactions; drive off unreacted monomers and oligomers; and to densify the film. Because the spin-on process requires a coater, a special equipment not yet integrated with UV radiation apparatus, the deposition and the UV treatment usually do not occur in the same semiconductor processing tool.

In some embodiments the ULK film may be applied to the wafer using a print-on method. Here a collection of nozzles, preferably actuated using sonic energy, is used to disperse the film-containing precursor solution on the wafer surface. The nozzles are scanned across the wafer to produce a uniform film over its surface. Subsequent curing is used in the same manner as that for spin-on films. The curing process may include a heating step to drive away most of the solvent from the precursor film. Subsequent UV treatment may be used to better penetrate the film to drive away residual solvent, and unreacted monomers & oligomers. Finally, the UV treatment causes film hardening through cross-linking. In this embodiment, the sequential multi-station approach may use IR lamps for the first step, i.e., wherein bulk solvent removal is required. Subsequent steps leading up to film strengthening may then use UV radiation sources.

Porogen Removal

The porogen removal operation may be accomplished by thermal anneal, UV radiation, or a combination of both. It will be appreciated by those skilled in the art that the UV radiation used with the invention encompasses near-UV, as well UV wavelengths. Thus, the radiation used to facilitate porogen removal in accordance with this invention may have wavelengths ranging between about 156 and 500 nanometers. As indicated, the UV wavelength(s) should match the absorption characteristics of the porogen bonds to be broken and/or the oxidizing agent, if used. Common bonds to be targeted which contribute to the overall absorption characteristics of the porogen-containing film are Si—CH3, C—C, C═C and C—H. For example, ATRP has an absorption peak at approximately 250-270 nanometers; therefore, a UV source intended to interact directly with a film containing ATRP preferably has high intensity components in the range of about 220 to 285 nanometers. Note that the radiation may comprise a narrow range of wavelengths (e.g., most intensity exits in a band about 1 to 20 nanometers in width) or a broader range of wavelengths.

As indicated, the UV light can directly interact with porogen bonds and thereby facilitate its decomposition or other breakdown mechanism. In a typical case, the porogen decomposes into lower molecular weight components that exist in the vapor phase or can be easily vaporized and thereby removed from the precursor film. Alternatively the UV radiation can indirectly facilitate breakdown of the porogen. In one example, this is accomplished by the UV radiation interacting with a compound other than the porogen to convert that compound into a species that can attack the porogen and thereby facilitate its removal. For example, the UV radiation may convert molecular oxygen or other oxygen containing compounds present in a reaction chamber to produce a strong oxidant, which can then react with and break down the porogen. Again, the decomposition products of the porogen can be volatilized and removed from the precursor film.

In embodiments wherein UV radiation is used to remove porogen, one or more of substrate temperature, UV intensity and UV wavelength are set to result in porogen removal while minimizing the increase in the stress of the film. As discussed above, the single conventional cure operation, e.g., at 400° C. and 100% UV intensity, used for porogen removal and increasing hardness results in an increase in stress. In certain embodiments of this invention, the porogen removal operation is performed at a lower UV intensity and/or lower temperature than subsequent cross-linking operations.

Temperatures during the porogen removal operation may be from around 250° C.-450° C. As indicated, in some embodiments the temperature during the porogen removal operation is lower than the temperature during the cross-linking operation. For example, the temperature during the porogen removal operation may range from about 250° C.-375° C.; in specific embodiments the temperature ranges from about 300° C.-350° C., about 300° C.-325° C., and about 350° C.-375° C. In one particular embodiment, the temperature is about 375° C. during the porogen removal operation. As discussed below, temperatures during the cross-linking operation may be around 400° C. or greater.

Also in certain embodiments, UV intensity is lower than during the cross-linking operation. For example, UV intensity may be from about 0-60%, 0-70%, 0-80% or 0-90% than it is during the cross-linking operation. In a specific embodiment, UV intensity is about 50-90% of the intensity during the cross-linking operation.

In some embodiments, the porogen removal operation may be accomplished by a thermal anneal (i.e., with zero UV intensity). Temperatures required for purely thermal anneal depend on the particular porogen used. Typical temperatures range from around 300° C.-450° C., e.g., around 400° C. The use of a thermal cure alone to remove porogen may be beneficial to reduce the total time of UV exposure.

In certain embodiments, both substrate temperature and UV intensity are lower during the porogen removal operation than for subsequent cross-linking operations. Unlike in a conventional single operation UV cure process, conditions during the porogen removal operation are such that the film is typically made softer or more compliant as a result of this operation. In certain embodiments, the UV intensity is the same or higher during the porogen removal process as during subsequent cross-linking operations. For example, in some embodiments, temperature but not UV intensity may be constant during porogen removal and cross-linking operations. In other embodiments, UV intensity may be constant (e.g., at 100%) for porogen removal and cross-linking operations, with temperature lower during the porogen removal operation.

In certain embodiments, conditions during the porogen removal operation and the cross-linking operation or operations are also differentiated by the wavelength of the UV radiation. FIG. 2 shows a rough schematic of UV absorption as a function of wavelength for a porogen and cross-linking in a dielectric material. As can be seen from the figure, absorption of radiation by the backbone is highest shorter wavelengths, including wavelengths about 220-250 nm, and decreases around higher wavelengths. Absorption of radiation by the porogen is dependent on the particular porogen used; the porogen shown in FIG. 2 has an absorption peak around 260 nm. It should be noted that the absorption spectra depicted are examples; the absorption spectra of the porogen and backbone depends on the particular compositions. In certain embodiments, a first station of a multi-station cure chamber has a radiation source emitting radiation of wavelengths of common porogens, e.g., around 200 nm-500 nm, 200 nm-280 nm, or around 250 nm, while other stations dedicated to increasing the mechanical strength of the porogen have radiation sources that emit lower wavelength radiation.

UV exposure time is largely a function of both the lamp intensity and the density and thickness of the precursor layer including porogen and structure former. UV exposure times range from seconds to tens of hours. Preferably, for commercial applications, the exposure time will be about 5 minutes or less. In a preferred embodiment, the exposure time ranges from about 1 second up to 5 minutes. Purely thermal porogen removal operations may take longer, e.g., hours to tens of hours.

As indicated the UV radiation may directly interact with the porogen or it may generate a reactive species that in turn attacks the porogen. If the exclusive mechanism is direct interaction, then the porogen removal operation is preferably performed an inert environment. This may be a vacuum, a noble gas atmosphere (e.g., argon or helium), or a nitrogen atmosphere, etc. In some embodiments, a quantity of hydrogen gas may be used as well. If reactive species are to be generated, then the atmosphere could include oxygen, N2O, CO2, NO2 or a combination thereof. When exposed to UV radiation, molecular diatomic oxygen forms less stable but more aggressive oxidizing species such as ozone and oxygen radicals. The ozone and oxygen radicals are sufficiently strong oxidizing agents to oxidize the organic porogen. The porogen is then removed in the form of small volatile hydrocarbon molecules and oxygen containing species.

If not removed quickly the volatile porogen removed from the substrate may adhere to the chamber walls or windows. The porogen may become a source of contamination for other substrates or cloud the windows and reduce the effectiveness of the UV radiation. U.S. patent application Ser. No. 11/391,134, filed March 2006 and titled PURGING OF POROGEN FROM UV CURE CHAMBER, describes mechanisms to ensure that the porogen is removed quickly, and is hereby incorporated by reference in its entirely, for all purposes. UV-mediated porogen removal is also discussed in commonly assigned U.S. patent application Ser. No. 10/672,311, filed Sep. 26, 2003 and titled METHOD OF POROGEN REMOVAL FROM POROUS LOW-K FILMS USING UV RADIATION, which is hereby incorporated by reference in its entirety, for all purposes.

Cross-Linking

As noted above, after the porogen removal operation, the film is typically soft and compliant. The cross-linking operation increases the hardness and/or modulus of the film. The cross-linking operation is so called because it is believed that it increases the number of crosslinks (i.e., the number of covalent bonds) within the dielectric film, thereby increasing the film hardness. However, the invention is not limited to a particular mechanism of increasing the hardness and modulus. Hardness and modulus are well defined within the art and will not be discussed in detail herein. Measures of film hardness and modulus presented herein may be made with any suitable apparatus including nano-indenter device.

One way to characterize mechanical performance of a dielectric film is via a “cracking threshold” measurement. This is a measure of thickness of a dielectric film on a blank substrate (e.g., a flat 300 mm wafer) that can be deposited without forming a crack. In a typical experiment, the dielectric is deposited to various thicknesses using a single set of process conditions. The resulting wafer (with dielectric film) is set aside without disturbance for a period of time (e.g., one day) and then examined for cracks. The greatest thickness at which no crack is observed is the cracking threshold. For many processes, the cracking threshold is measured in micrometers. The cracking threshold as well as crack propagation velocity in dielectric films is exponentially dependent on film stress. Thus it is important to minimize thin film stresses to prevent crack propagation through the dielectric layer during the packaging process. As indicated above, in certain embodiments, multi-operation porogen removal and curing operations of the present invention result in minimizing the stress of the film.

The cross-linking operation is performed by exposing the porous dielectric film to radiation. In certain embodiments, the film is exposed to ultraviolet radiation. However, as noted above, radiation having wavelengths as low as 150 nanometers may be used to increase cross-linking.

Substrate temperatures may range from around room temperature to about 450° C., for example around 400° C. As indicated, in certain embodiments, substrate temperatures during the cross-linking operation are typically higher than during porogen removal. In a particular embodiment, substrate temperature during porogen removal is about 375° C., and during the cross-linking operation around 400° C. In some embodiments, substrate temperature during the cross-linking operation or operations may be as high as the thermal budget allows.

UV intensity may also be higher during cross-linking than during porogen removal, as discussed above. UV exposure time is largely a function of both the lamp intensity and the density of the precursor layer including porogen and structure former. UV exposure times range from seconds to tens of hours. Preferably, for commercial applications, the exposure time will be about 5 minutes or less. In a preferred embodiment, the exposure time ranges from about 1 second up to 5 minutes.

The UV radiation during the cross-linking operation may be modulated, e.g., by intensity, to facilitate mechanical strengthening. This is described in the commonly assigned U.S. patent application Ser. No. 10/825,888, filed Apr. 16, 2004 and titled “Method To Improve Mechanical Strength Of Low-K Dielectric Film Using Modulated UV Exposure,” which is hereby incorporated by reference in its entirety.

Increasing Tensile Stress in Silicon Nitride Film

A silicon nitride film may be treated to increase tensile stress in accordance with the present invention. For certain applications, silicon nitride may be used in place of silicon dioxide for top layer protection because it is harder and has better hermeticity, etch selectivity, and protects regions below it against oxidation. Silicon nitride films may be deposited with an LPCVD (low-pressure chemical vapor deposition) or a PECVD (plasma-enhanced chemical vapor deposition) process.

UV radiation may be used to change the stress properties of the silicon nitride film, generally to increase its tensile stress. Tensile nitride films are used to create and transfer strain to the source and drain regions of NMOS devices. This strain is transferred to the channel regions of the NMOS devices and improves the mobility of carriers, and thus performance of the transistor in an integrated circuit.

UV radiation is known to increase silicon nitride film tensile stress. It is believed that UV radiation breaks Si—H and Si—OH bonds in a PECVD or LPCVD nitride film and allows the hydrogen and resulting water vapor to diffuse out of the film. The presence of bonded hydrogen in the film reduces the nominal spacing between the molecules and generates compressive stress. When the hydrogen is removed, the spacing between the molecules is increased and the film becomes more tensile.

The degree of change in tensile stress can be controlled. Factors include the thickness of the silicon nitride film, the power and intensity of the UV radiation, the duration of exposure, and the temperature of the wafer. By modulating these parameters, the change in tensile stress can be controlled. Of course, some purge gas is flowed to remove the hydrogen and water vapor removed from the film.

In some embodiments, the UV treatment of silicon nitride has two operations: an initial bond breaking operation; and a bond breaking and diffusion operation. It is known in the art that a partially fabricated semiconductor wafer may be subjected to high temperature for a limited time. Every time a wafer is heated to a high temperature, the doped regions in the wafer may spread and regions of mismatched coefficients of thermal expansion may cause extrusions or even delaminations between layers. Every time a wafer is heated and cooled, between 400° C. and room temperature, more crystal dislocation forms, which may cause device failure. On the other hand, diffusion of hydrogen and water vapor through a film is correlated to wafer temperature. In order to minimize the duration of higher temperature exposure, the initial bond breaking operation occurs with a lower wafer temperature. As more hydrogen and hydroxyls are freed, the wafer temperature is increased in the second operation to facilitate removal of the hydrogen and water vapor from the film. The use of two operations to increase the tensile stress of silicon nitride films minimizes the duration of high wafer temperature and maximizes the bond-breaking effects of the UV radiation. In some cases, the wafer temperature may be as high as 480° C. during the higher temperature diffusion operation.

Spin-on Films

A spin-on ULK film may be treated with UV radiation first to evolve the solvent and then to harden and strengthen the film. As its name states, the spin-on ULK film is applied to the wafer via a spinning coating process. First a high viscosity liquid precursor containing the film is applied to the wafer while the wafer is spinning to evenly spread the liquid solution to all parts of the wafer. The spin-on process was first used to apply a thin layer of photoresist. This simple process can planarize the surface of the wafer, because the liquid precursor can form a thin film covering all features.

After the substrate is coated with the liquid precursor, it must undergo annealing to drive-off the solvent; complete chemical reactions; drive off unreacted monomers and oligomers; and to densify the film. Depending on the precursor, different solvents may be used. Generally, two or three operations of bake and cure are used. An initial operation at a lower temperature is generally used to drive off the solvent and to complete chemical reactions and a second operation at a higher temperature is generally used to drive off unreacted materials and to densify the film.

UV radiation can be used instead of the thermal annealing process to complete the spin-on film deposition. In accordance with the present invention, two or three operations can be performed in one semiconductor processing tool. Just like the thermal annealing process, the solvents are first evolved from the spin-on film while the chemical reactions complete. Liquid precursor solvents tend to be volatile and easily evolved; therefore, a lower wafer temperature and less UV intensity is required. However, the purge gas flow rate must be high to remove all evolved solvent and keep the reactor clean. The UV parameters must favor solvent evolution so as not to cause premature hardening and strengthening of the film and trap solvent particles in the dielectric film. The UV wavelengths may be tuned to target the specific solvent. Longer wavelengths may be used to effectively volatilize the solvent without rearranging the chemical bonds within the film. Managing the relative rates at which solvent in a film is evolved and that at which the film hardens is important for the complete removal of solvent.

The next UV operation would be to drive off unreacted organic materials. This step is very similar to the operations for porous ULK films. In this operation, the UV intensity and wafer temperature are increased to that for cross-linking. The UV wavelengths are also changed to the spectral band that favors cross-linking. The purge gas flow rate is still high, to remove any unreacted organic materials. After this operation, the remaining operation is the same as that for cross-linking of a porous ULK film, which is discussed above.

Apparatus

The present invention can be implemented in many different types of apparatus. Generally, the apparatus will include one or more chambers (sometimes referred to as process reactors) that house one or more wafers and are suitable for wafer processing. At least one chamber will include a UV source. A single chamber may be employed for all operations of the invention or separate chambers may be used. Each chamber may house one or more wafers for processing. The one or more chambers maintain the wafer in a defined position or positions (with or without motion within that position, e.g., rotation, vibration, or other agitation) during UV treatment operations. For certain operations in which the wafer is to be heated, the apparatus may include a heating platen.

In certain embodiments the multi-operation cure process is performed using a multi-station cure chamber. As discussed above, in certain embodiments, the multiple operation cure processes of the invention rely on being able to independently modulate the UV intensity, wavelength, spectral distribution and substrate temperature of each step or operation. Additionally, certain inert or reactive gases may be injected during the cure process at the same or different flowrates at each step. For example, in one embodiment, the porogen removal operation uses the same UV intensity but a lower substrate temperature than the cross-linking operation. In another embodiment, the porogen removal operation uses a lower substrate temperature and a lower UV intensity than the cross-linking operation. Similarly, various effects of UV exposure (porogen removal, change in stress, change in hardness, process time, etc.) may be modulated by independently modulating UV intensity and substrate temperature. Detail process parameters of various examples are discussed below.

Multi-station cure chambers capable of modulating these effects by providing independent control of the substrate temperature and the UV intensity are described in above-referenced U.S. patent application Ser. No. 11/115,576 and in commonly assigned U.S. patent application Ser. No. 11/184,101, filed Jul. 18, 2005, titled “Cast Pedestal With Heating Element and Coaxial Heat Exchanger,” which is hereby incorporated by reference in its entirety and for all purposes.

These chambers decouple substrate temperature and UV intensity by reducing the amount of IR radiation on the wafer and/or providing independent heat transfer mechanisms to and from the wafer. For example, the chambers may be equipped with cold mirrors or other reflectors to reduce the amount of IR radiation incident on the wafer. In addition, each pedestal or other substrate support may have an independent heat transfer mechanism to help maintain a substrate temperature regardless of the UV intensity. Thus, unlike conventional UV cure chambers where substrate temperature is coupled to UV intensity, the substrate temperature and UV intensity may be independently set for a wide range of temperatures and intensities.

FIGS. 3A and 3B show one embodiment of an apparatus appropriate for use with certain embodiments of the invention that uses UV flood lamps. Chamber 301 includes multiple cure stations 303, 305, 307 and 309, each of which accommodates a wafer. Station 303 includes transfer pins 319. FIG. 3B is a side view of the chamber showing stations 303 and 305 and wafers 313 and 315 located above pedestals 323 and 325. There are gaps 304 between the wafers and the pedestals. The wafer may be supported above the pedestal by an attachment, such as a pin, or floated on gas. Parabolic or planar cold mirrors 353 and 355 are located above UV flood lamp sets 333 and 335. UV light from lamp sets 333 and 335 passes through windows 343 and 345. Wafers 303 and 305 are then exposed to the radiation. In alternative embodiments, the wafer may be supported by the pedestals 323 and 325. In such embodiments, the lamps may or may not be equipped with cold mirrors. By making full contact with the pedestal, the wafer temperature may be maintained by use of a conductive gas such as helium or a mixture of helium and argon at a sufficiently high pressure, typically between 50 and 760 Torr, but preferably between 100 and 600 Torr.

In operation, a wafer (or other substrate) enters the chamber at station 303 where the porogen removal operation is performed. Pedestal temperature at station 303 is set to a first temperature, e.g. 375° C., with the UV lamps above station 303 set to a first intensity, e.g., 70% maximum intensity. After having some or all of the porogen removed in station 303, the porous dielectric matrix is soft and is transferred to station 305 for hardening. Pedestal temperature at station 305 is set to a second temperature, e.g., 400° C. and UV intensity is set to a second intensity, e.g. 100%, or maximum, intensity. Stations 307 and 309 may also be used for increasing hardness. For example, conditions at stations 305, 307 and 309 may be the same. Each wafer is sequentially exposed to each UV light source

In some cases, different stations irradiate the wafer at different wavelengths or wavelengths ranges. The example above uses a UV flood lamp, which generates radiation in a broad spectrum. Optical components may be used in the radiation source to modulate the part of the broad spectrum that reaches the wafer. For example, reflectors, filters, or combination of both reflectors and filters may be used to subtract a part of the spectrum from the radiation. One such filter is a bandpass filter.

Optical bandpass filters are designed to transmit a specific waveband. They are composed of many thin layers of dielectric materials, which have differing refractive indices to produce constructive and destructive interference in the transmitted light. In this way optical bandpass filters can be designed to transmit a specific waveband only. The range limitations are usually dependant upon the interference filters lens, and the composition of the thin-film filter material. Incident light is passed through two coated reflecting surfaces. The distance between the reflective coatings determines which wavelengths will destructively interfere and which wavelengths will be allowed to pass through the coated surfaces. In situations where the reflected beams are in phase, the light will pass through the two reflective surfaces. However, if the wavelengths are out of phase, destructive interference will block most of the reflections, allowing almost nothing to transmit through. In this way, interference filters are able to attenuate the intensity of transmitted light at wavelengths that are higher or lower than the desired range. FIG. 4 depicts UV flood lamp set 433, incident light 435, filter 443 and transmitted light 437.

Another filter that can attenuate the wavelengths of the radiation reaching the wafer is the window 343, typically made of quartz. By changing the level of metal impurities and water content, the quartz window can be made to block radiations of undesired wavelengths. High-purity Silica Quartz with very little metal impurity is more transparent deeper into the ultraviolet. As an example, quartz with a thickness of 1 cm will have a transmittance of about 50% at a wavelength of 170 nm, which drops to only a few percent at 160 nm. Increasing levels of impurities in the quartz cause transmission of UV at lower wavelengths to be reduced. Electrically fused quartz has a greater presence of metallic impurities, limiting its UV transmittance wavelength to around 200 nm. Synthetic silica, on the other hand, has much greater purity and will transfer down to 170 nm. For infrared radiation, the transmittance through quartz is determined by the water content. More water in the quartz means that infrared radiation is more likely absorbed. The water content in the quartz may be controlled through the manufacturing process. Thus, the spectrum of radiation transmission through the quartz window may be controlled to cutoff or reduce UV transmission at shorter wavelengths and/or to reduce infrared transmission at longer wavelengths.

Another type of filter is UV cut-off filters. These filters do not allow UV transmission below a set value, e.g. 280 nm. These filters work by absorbing wavelengths below the cut-off value. This may be helpful to optimize the desired cure effect.

Radiation wavelength can also be controlled by modifying the properties of the light generator. UV flood lamps can generate a broad spectrum of radiation, from UV to infrared, but other light generators may be used to emit a smaller spectrum or to increase the intensity of a narrower spectrum. Other light generators may be mercury-vapor lamps, doped mercury-vapor lamps, electrode lamps, excimer lamps, excimer lasers, pulsed Xenon lamps, doped Xenon lamps. Lasers such as excimer lasers can emit radiation of a single wavelength. When dopants are added to mercury-vapor and to Xenon lamps, radiation in a narrow wavelength band may be made more intense. Common dopants are iron, nickel, cobalt, tin, zinc, indium, gallium, thallium, antimony, bismuth, or combinations of these. For example, mercury vapor lamps doped with indium emits strongly in the visible spectrum and around 450 nm; iron, at 360 nm; and gallium, at 320 nm. Radiation wavelengths can also be controlled by changing the fill pressure of the lamps. For example, high-pressure mercury vapor lamps can be made to emit wavelengths of 250 to 440 nm, particularly 310 to 350 nm more intensely. Low-pressure mercury vapor lamps emit at shorter wavelengths.

In addition to changing light generator properties and the use of filters, reflectors that preferentially deliver one or more segments of the lamps spectral output may be used. A common reflector is a cold mirror that allows infrared radiation to pass but reflects other light. Other reflectors that preferentially reflect light of a spectral band may be used. Therefore a wafer may be exposed to radiation of different wavelengths at different stations. Of course, the radiation wavelengths may be the same in some stations.

In FIG. 3B, pedestals 323 and 325 are stationary. Indexer 311 lifts and moves each wafer from one pedestal to another between each exposure period. Indexer 311 is an indexer plate 321 attached to a motion mechanism 331 that has rotational and axial motion. Upward axial motion is imparted to indexer plate 321 to pick up wafers from each pedestal. The rotational motion serves to advance the wafers from one station to another. The motion mechanism then imparts downward axial motion to the plate to put the wafers down on the stations.

Pedestals 323 and 325 are electrically heated and maintained at a desired process temperature. Pedestals 323 and 325 may also be equipped with cooling lines, if needed. Each pedestal may have its own heating system. In an alternate embodiment, a large heater block may be used to support the wafers instead of individual pedestals. A thermally conductive gas, such as helium, is used to effect good thermal coupling between the pedestal and the wafer. In some embodiments, cast pedestals with coaxial heat exchangers may be used. These are described in above-referenced application Ser. No. 11/184,101.

FIGS. 3A and 3B show only an example of a suitable apparatus and other apparatuses designed for other methods involved in previous and/or subsequent processes may be used. For example, in another embodiment that uses flood lamps, the wafer support is a carousel. Unlike with the stationary pedestal wafer supports, the wafers do not move relative to the carousel. After a wafer is loaded onto the carousel, the carousel rotates, if necessary, to expose the wafer to light from a UV lamp set. The carousel is stationary during the exposure period. After the exposure period, the carousel rotates to advance each wafer for exposure to the next set of lamps. Heating and cooling elements may be embedded within the rotating carousel. Alternatively the carousel may be in contact with a heater plate or hold the wafers so that they are suspended above a heater plate.

In certain embodiments, the substrates are exposed to UV radiation from focused, rather than, flood lamps. Unlike the flood lamp embodiments wherein the wafers are stationary during exposure (as in FIGS. 3A and b), there is relative movement between the wafers and the light sources during exposure to the focused lights as the wafers are scanned.

Other apparatuses designed for other methods involved in previous and/or subsequent processes may be used. For example, methods of the invention may be used with a standard PECVD chamber used to deposit the precursor layer if the chamber is equipped with a UV radiation source. Some supercritical fluid chamber systems may also be configured to include a UV radiation source. Many of these systems may also be used to perform post-porogen removal procedures. In these cases, the chamber system will likely be pumped out between each of the process operations.

EXAMPLES

Example processes in accordance with the present invention are discussed below. The example processes list parameters of wafer temperature, UV intensity as percentage of full power, desired spectral band as a wavelength range, and gas flow rate for each of stations one to four for a four-station chamber. These example processes are suitable for use in a Novellus SOLA, which uses a four-station sequential processing chamber. These example processes may also be suitable for use in other semiconductor processing equipment, such as ones that use multiple chambers or multi-stations chambers with fewer or more than four stations.

The first example relates to the porous PECVD ULK film embodiment referenced above. Wafers having a porous ULK film deposited thereon are treated with UV radiation first to remove the porogens in the ULK film and then to strengthen and harden the film. Because the porogen becomes harder to remove after the ULK film is hardened and strengthened, the process conditions in the first station must favor porogen removal. In station 1, the wafer is heated to a temperature cooler than stations 2 to 4 because the ULK film hardens and strengthens more at higher temperatures. The UV intensity is lower at station 1, at about 70% of maximum, which may be about 200 to 400 mW/cm2 in the spectrum between 200 and 260 nm. The UV wavelength range at station 1 is about 250-270 nm, a longer wavelength than at stations 2 to 4, which are at about 220-250 nm. As discussed above, the different wavelengths may be achieved using different light generators and optical components. Further, the gas flow rates are higher in stations 1 and 2, at 17 standard liters per minute (slm), than stations 3 and 4, at 8 slm. In one particular implementation of this invention, the higher gas flow purges the porogen from the wafer and inside surfaces of the chamber. By the time the wafer reaches stations 3 and 4, most of the porogen has generally been removed, and less purging is required. The different gas flow rates may be accomplished by using different mass flow controllers (MFCs) from the same gas inlet header, or, in some instances when different gases are required in each station, different gas inlet headers. In this example, station 1 differs from stations 3 and 4 in every process parameter, but is different from station 2 by three process parameters. On the other hand, only the purge gas flow rate is different as between station 2 and stations 3 and 4. Argon is a suitable purge gas, but helium or a mixture of argon and helium may be used. Process parameters are summarized in Table 1.

TABLE 1 Process Example for Porous PECVD ULK Film Parameter Station #1 Station #2 Station #3 Station #4 Wafer 375° C. 400° C. 400° C. 400° C. Temperature UV Intensity 70% 100% 100% 100% (% of full power) Desired 250-270 nm 220-250 nm 220-250 nm 220-250 nm Spectral band Gas Flow Rate 10-25 slm 10-25 slm 5-12 slm 5-12 slm

As another example, a silicon nitride film may be treated to increase tensile stress in accordance with the present invention. For certain applications, silicon nitride may be used in place of silicon dioxide for top layer protection because it is harder and has better hermeticity, etch selectivity, and protects regions below it against oxidation. Silicon nitride films may be deposited with a LPCVD (low-pressure chemical vapor deposition) or a PECVD (plasma-enhanced chemical vapor deposition) process. UV radiation may be used to change the stress properties of the silicon nitride film, generally to increase its tensile stress. This tensile stress increase can be performed in two operations. In this example, the wafer is heated to 400° C., in some cases up to 480° C., in the first station, while the UV intensity is at the maximum, about 200 to 400 mW/cm2. The UV wavelength range is about 220-250 nm, similar to that for building ULK film strength and hardness. The gas flow is at 4 slpm. In stations 2 to 4, the wafer is heated to 415° C., and all the other parameters are kept about the same. Process parameters are summarized in Table 2.

TABLE 2 Process Example for High Tensile Nitride Film Parameter Station #1 Station #2 Station #3 Station #4 Wafer 400° C. 415° C. 415° C. 415° C. Temperature UV Intensity 100% 100% 100% 100% (% of full power) Desired 220-250 nm 220-250 nm 220-250 nm 220-250 nm Spectral band Gas Flow Rate 2-6 slm 2-6 slm 2-6 slm 2-6 slm

In yet another example, a spin-on ULK film may be treated with UV radiation first to evolve the solvent and then to harden and strengthen the film. As its name states, the spin-on ULK film is applied to the wafer via a spinning process. First a liquid solution containing the film is applied to the wafer, and the wafer is spun to evenly spread the liquid solution to all parts of the wafer. UV radiation can be applied to the deposited film to drive-off the solvent; complete chemical reactions; drive off unreacted monomers and oligomers; and to densify the film. These processes may be achieved in three operations. In the first station, the wafer temperature is kept at about 350° C., a little lower than stations 2 to 4, which are kept at 400° C. The intensity is also lower at station 1, at about 70% of the maximum, which may be about 200 to 400 mW/cm2. The UV wavelength range is at about 300-400 nm, which is longer than the 220-250 nm used in stations 2 to 4. In station 1, most the solvent is evolved from the spin-on ULK film. Premature hardening may trap some solvents and stop chemical reactions; therefore, station 1 parameters favor solvent evolution and not film hardening or strengthening. The purge gas flows at a high rate, 17 slm, to remove all the solvents evolved from the film. In station 2, the purge gas flow is still high, but the wafer temperature, UV intensity and wavelength, are all changed to the parameters that favor film hardening and strengthening. In this station, any remaining unreacted monomers and oligamers are removed under high purge gas flow. In stations 3 and 4, the purge gas flow is reduced to 8 slm while the other parameters remain constant. This example is similar to that of the porous ULK film example in Table 1, which also has three distinct stages. Process parameters are summarized in Table 3.

TABLE 3 Process Example for Spin-on ULK Film Parameter Station #1 Station #2 Station #3 Station #4 Wafer 350° C. 400° C. 400° C. 400° C. Temperature UV Intensity 70% 100% 100% 100% (% of full power) Desired 300-400 nm 220-250 nm 220-250 nm 220-250 nm Spectral band Gas Flow Rate 10-25 slm 10-25 slm 5-12 slm 5-12 slm

While this invention has been described in terms of several embodiments, there are alterations, modifications, permutations, and substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, modifications, permutations, and substitute equivalents as fall within the true spirit and scope of the present invention. The use of the singular in the claims does not mean “only one,” but rather “one or more,” unless otherwise stated in the claims.

Claims

1. A method of processing a semiconductor wafer, the method comprising:

performing a plurality of exposure operations providing wafer exposure characteristics on a wafer, wherein:
at least two of the exposure operations differ with respect to at least one of the wafer exposure characteristics selected from the group consisting of: radiation intensity, radiation wavelength, and wafer support temperature,
each exposure operation of the plurality of exposure operations is performed in a common processing chamber, and
at least two of the exposure operations are performed in different stations of the common processing chamber.

2. The method of claim 1, wherein the plurality of exposure operations is two operations.

3. The method of claim 2, wherein the common processing chamber comprises 3 or more stations and two or more of the stations are used to provide wafer exposure characteristics that are the same.

4. The method of claim 3, wherein light sources used to provide the exposure operations at each of the two or more stations providing wafer exposure characteristics that are the same are oriented at different relative orientations with respect to the wafer.

5. The method of claim 4, wherein the common processing chamber comprises 4 or more stations and two or more of the stations are used to provide wafer exposure characteristics that are the same.

6. The method of claim 5, wherein light sources used to provide the exposure operations at each of the two or more stations providing wafer exposure characteristics that are the same are oriented at different relative orientations with respect to the wafer.

7. The method of claim 1, wherein a first exposure operation of the plurality of exposure operations is to evolve a gaseous by-product from a dielectric film and a second exposure operation of the plurality of exposure operations is to increase cross-linking in the film to harden the dielectric film.

8. The method of claim 7, wherein a porous ULK film on the wafer is subjected to the plurality of exposure operations to remove porogens and to increase cross-linking.

9. The method of claim 7, wherein a spin-on ULK film on the wafer is subjected to the plurality of exposure operations to evolve solvent and to increase cross-linking.

10. The method of claim 1, wherein a nitride film on the wafer is subjected to the plurality of exposure operations to increase tensile stress.

11. The method of claim 1, further comprising depositing a dielectric film on the wafer.

12. The method of claim 1, wherein:

a first exposure operation of the plurality of exposure operations is to ultraviolet (UV) radiation and the wafer exposure characteristics of the first exposure operation are selected to cause porogens in the wafer to be removed,
a second exposure operation of the plurality of exposure operations is to UV radiation and the wafer exposure characteristics of the second exposure operation are selected to increase cross-linking in the wafer, and
the second exposure operation is performed after the first exposure operation.

13. The method of claim 12, wherein:

the wafer temperature during the first exposure operation is held at between approximately 250° C. and 450° C.,
the wafer temperature during the second exposure operation is held at approximately 400° C. or greater, and
the wafer temperature during the second exposure operation is maintained at a higher temperature than the wafer temperature during the first exposure operation.

14. The method of claim 12, wherein the radiation intensity during the first exposure operation is held to approximately 50% to 90% of the radiation intensity of the second exposure operation.

15. The method of claim 12, wherein:

the radiation wavelength and the radiation intensity during the first exposure operation are biased towards one or more absorption wavelength peaks of the porogens, and
the radiation wavelength and the radiation intensity during the second exposure operation are biased towards one or more absorption wavelength peaks of the wafer.

16. The method of claim 15, wherein the first exposure operation is performed in an inert environment.

17. The method of claim 12, wherein:

the first exposure operation is performed while flowing oxygen gas into the station where the first exposure operation is performed and the radiation wavelength and radiation intensity during the first exposure operation are tuned for activating the oxygen, and
the radiation wavelength and radiation intensity during the second exposure operation are biased towards one or more absorption wavelength peaks of the wafer.

18. The method of claim 1, wherein:

a first exposure operation of the plurality of exposure operations has a radiation intensity of zero and non-zero wafer support temperature,
a second exposure operation of the plurality of exposure operations is to ultraviolet radiation and the wafer exposure characteristics of the second exposure operation are selected to increase cross-linking in the wafer, and
the second exposure operation is performed after the first exposure operation.

19. The method of claim 18, wherein:

the first exposure operation has a wafer support temperature between approximately 300° C. and 450° C.
Patent History
Publication number: 20140080324
Type: Application
Filed: Nov 21, 2013
Publication Date: Mar 20, 2014
Applicant: Novellus Systems, Inc. (Fremont, CA)
Inventors: Krishnan Shrinivasan (Bangalore), Michael Rivkin (Los Altos, CA), Eugene Smargiassi (Tualatin, OR), Mohamed Sabri (Beaverton, OR)
Application Number: 14/086,732