BORON RICH NITRIDE CAP FOR TOTAL IONIZING DOSE MITIGATION IN SOI DEVICES

- IBM

A semiconductor-on-insulator (SOI) structure that includes a cap layer composed of a boron-rich compound or doped boron nitride located between a top semiconductor layer and a buried insulator layer is provided. The cap layer forms a conductive path between the top semiconductor layer and the buried insulator layer in the SOI structure to dissipate total ionizing dose (TID) accumulated charges, thus advantageously mitigating TID effects in fully depleted SOI transistors.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND

The present disclosure relates to semiconductor-on-insulator (SOI) structures, and particularly to SOI structures in which a cap layer comprising a boron-rich compound or doped boron nitride is located between a top semiconductor layer and a buried insulator layer.

Semiconductor-on-insulator (SOI) complementary metal oxide semiconductor (CMOS) technology is currently being considered for a variety of aerospace/military electronic applications. In space systems, exposure to high fluxes of electrons and protons can significantly reduce system lifetime due to total ionizing dose (TID) effects. Ionizing radiation can induce significant charge buildup in oxides and insulators leading to device degradation (e.g., threshold voltage shifts) and failure. This radiation effect has become one of the most important issues affecting the reliability of integrated circuits (ICs) in near earth orbits.

In SOI ICs, a thin semiconductor layer such as, for example, silicon is formed over an insulator layer, such as silicon oxide, which in turn is formed over a substrate. This insulator layer is often referred to as a buried oxide (BOX) layer or simply as a BOX. Total dose response of SOI circuits can be very complicated due to the presence of the buried oxide in an SOI substrate. Total dose radiation-induced back-channel leakage can occur as positive charge trapped in the buried oxide of SOI ICs near the silicon/oxide interface and can cause large increases in IC static power supply leakage current. For a fully-depleted SOI transistor, such as a FinFET and a trigate device, where a top-gate transistor is electrically coupled to a back-gate transistor, radiation-induced charge buildup in the buried oxide will directly affect the top-gate transistor characteristics. In view of the above, there remains a need for providing improved SOI structures and methods to mitigate TID effects.

SUMMARY

The present disclosure provides an SOI structure including a cap layer composed of a boron-rich compound or doped boron nitride located between a top semiconductor layer and a buried insulator layer. The cap layer functions as an electrically leaky layer which forms a conductive path between the top semiconductor layer and the buried insulator layer in the SOI structure to dissipate TID accumulated charges, thus advantageously mitigating TID effects in fully depleted SOI transistors.

In one aspect of the present disclosure, an SOI structure is provided. The SOI structure of the present disclosure includes a handle substrate composed of a first semiconductor material. An insulator layer is located atop an uppermost surface of the handle substrate. A cap layer composed of a boron-rich compound or doped boron nitride is located atop the insulator layer. An SOI layer composed of a second semiconductor material is located atop the cap layer.

In another aspect of the present disclosure, an SOI structure including at least one SOI mesa is provided. The SOI structure includes a handle substrate composed of a first semiconductor material. An insulator layer is located atop an uppermost surface of the handle substrate. A cap layer composed of a boron-rich compound or doped boron nitride is located atop the insulator layer. The at least one SOI mesa is composed of a second semiconductor material and is located atop the cap layer. The at least one SOI mesa has vertical sidewall edges that do not extend beyond, and are not vertically aligned to, vertical sidewall edges of the cap layer.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 is a pictorial representation (through a cross-sectional view) depicting an SOI structure in accordance with an embodiment of the present disclosure.

FIG. 2 is a pictorial representation (through a cross sectional view) depicting another SOI structure in accordance with another embodiment of the present disclosure.

FIG. 3 is a pictorial representation (through a cross sectional view) depicting an SOI structure of the present disclosure including at least one semiconductor mesa in accordance with an embodiment of the present disclosure.

FIG. 4 is a pictorial representation (through a cross sectional view) depicting another SOI structure of the present disclosure including at least one semiconductor mesa in accordance with an embodiment of the present disclosure.

DETAILED DESCRIPTION

The present disclosure will now be described in greater detail by referring to the following discussion and drawings that accompany the present disclosure. It is noted that the drawings of the present disclosure are provided for illustrative purposes only and, as such, the drawings are not drawn to scale. It is also noted that like and corresponding elements are referred to by like reference numerals.

In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide an understanding of the various embodiments of the present disclosure. However, it will be appreciated by one of ordinary skill in the art that the various embodiments of the present disclosure may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the present disclosure.

It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present.

As stated above, the present disclosure provides an SOI structure that includes a cap layer composed of a boron-rich compound or doped boron nitride located between a top semiconductor layer and a buried insulator layer. As such, the SOI structure of the present disclosure advantageously mitigates TID effects in fully depleted devices by forming a conductive path between the top semiconductor layer and the buried insulator layer to dissipate TID accumulated charges.

Referring to FIG. 1, there is illustrated an exemplary SOI structure including, from bottom to top, a handle substrate 12, an insulator layer 16, a cap layer 18, and a semiconductor on insulator (SOI) layer 20 that can be employed in accordance with an embodiment of the present disclosure.

In the embodiment illustrated in FIG. 1, the insulator layer 16 is present on an uppermost surface of the handle substrate 12. The cap layer 18 is present on an uppermost surface of the insulator layer 16. The SOI layer 20 is present on an uppermost surface of the cap layer of 18. The handle substrate 12 provides mechanical support to the insulator layer 16, the cap layer 18 and the SOI layer 20.

The handle substrate 12 that is employed in the present disclosure includes a first semiconductor material which can be selected from, but is not limited to, silicon, germanium, silicon-germanium alloy, silicon carbon alloy, silicon-germanium-carbon alloy, III-V compound semiconductor materials such as, for example, gallium arsenide, indium arsenide and indium phosphide, II-VI compound semiconductor materials, organic semiconductor materials, and other compound semiconductor materials.

All or portions of the handle substrate 12 can be doped to provide at least one globally or locally conductive region (not shown) located beneath the interface between the handle substrate 12 and an optional layer of insulating oxide to be subsequently formed or the insulator layer 16. The dopant concentration in the doped regions of the handle substrate 12 can be optimized for device performance. The thickness of the handle substrate 12 can be from 50 microns to 1 mm, although lesser and greater thicknesses can also be employed.

The insulator layer 16 of the SOI substrate may be a crystalline or non-crystalline oxide or nitride. In one embodiment of the present disclosure, the insulator layer 16 is an oxide such as, for example, silicon oxide. In another embodiment, the insulator layer 16 is a nitride such as, for example, boron nitride. In some embodiments in which boron nitride is used as the insulator layer 16, the boron nitride insulator layer 16 has a lower boron content than the cap layer 18 to be subsequently formed. In other embodiments in which boron nitride is used as the insulator layer 16, the boron nitride insulator layer 16 is non-doped. The thickness of the insulator layer 16 can be from 1 nm to 200 nm, with a thickness from 100 nm to 150 nm being more typical.

When the insulator layer 16 is composed of boron nitride, a layer of insulating oxide 14 may be optionally employed. As shown in FIG. 2, the layer of insulating oxide 14 is present atop of the handle substrate 12. The optional layer of insulating oxide 14 includes an oxide of a semiconductor which may or may not be the same as the semiconductor material of the underlying handle substrate 12. Typically, but not necessarily always, the optional layer of insulating oxide 14 is an oxide of the underlying semiconductor material. Examples of insulating oxides that can be employed as the layer of insulating oxide 14 include, but are not limited to, silicon oxide, silicon germanium oxide, and an oxide of a silicon carbon alloy. In one embodiment of the present disclosure, the optional layer of insulating oxide 14 is silicon oxide. The optional layer of insulating oxide 14 can be formed utilizing a conventional deposition process such as, for example, thermal oxidation of the handle substrate, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), evaporation or chemical solution deposition. In some embodiments, the optional layer of insulating oxide 14 is a thermal insulating oxide that is formed utilizing a thermal oxidation process.

When present, the thickness of the optional layer of insulating oxide 14 is less than the thickness of a conventional buried oxide of a conventional SOI structure. In one embodiment of the present disclosure, the optional layer of insulating oxide 14 has a thickness from 5 nm to 10 nm. In another embodiment, the optional layer of insulating oxide 14 has a thickness from 2 nm to 5 nm. The presence of the optional layer of insulating oxide 14 serves to provide a good adhesion interface between the handle substrate 12 and the insulator layer 16 when the insulator layer 16 is composed of boron nitride and to plug any pin holes in as well as to absorb volatile species coming from the deposited boron nitride.

The cap layer 18 in the present disclosure functions as an electrically leaky layer that is capable to dissipate positive charges trapped in the insulator layer 16. In some embodiments of the present application, the cap layer 18 can comprise a boron-rich compound. In other embodiments of the present application, the cap layer 18 can comprise doped boron nitride.

In some embodiments of the present disclosure, the cap layer 18 is comprised of a boron-rich compound such as, for example, boron-rich boron nitride (BN), boron-rich silicon boron nitride (SiBN), boron-rich carbon boron nitride (CBN), boron-rich boron silicon (BSi) or boron-rich boron silicon oxide (BSiO).

The cap layer 18 of boron-rich compound can be formed by a conventional process, such as, for example chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD) or physical vapor deposition (PVD). During the deposition process, a boron-containing precursor is introduced into the processing chamber. The boron-containing precursor may be diborane (B2H6), borazine (B3N3H6), or an alkyl-substituted derivative of borazine. By varying the flow rates of the boron-containing precursor, the concentration of boron in the cap layer 18 can be controlled. The boron-rich compound produced can be stoichiometric or non-stoichiometric as long as the cap layer 18 that is formed contains an excess amount of boron. In one embodiment of the present disclosure, the cap layer 18 is composed of boron-rich boron nitride formed by reacting a diborane gas with ammonia (NH3). In another embodiment, the cap layer 18 is composed of boron-rich boron silicon nitride formed by reacting silane (SiH4) with NH3 atmosphere in the presence of a diborane gas. The cap layer 18 of boron-rich compound can be formed with boron uniformly distributed throughout. Alternatively, the cap layer 18 of boron-rich compound can be formed with a graded boron concentration, in which the boron concentration is the highest at the interface between the insulator layer 16 and the cap layer 18.

In other embodiments of the present disclosure and as mentioned above, the cap layer 18 can comprise doped boron nitride. Examples of dopants that can be employed in providing a doped boron nitride layer include, but are not limited to, tungsten, tantalum, cobalt, molybdenum, and titanium. The dopants can be introduced by in-situ doping, ion implantation, gas phase doping, out-diffusion from a sacrificial dopant source material or other means known in the art. In one embodiment, dopants in the cap layer 18 of doped boron nitride may be uniformly distributed throughout with a dopant concentration of 1×1018 atoms/cm3 or higher. Typically, the dopant concentration in a cap layer 18 of doped boron nitride is from 1×1019 atoms/cm3 to 5×1020 atoms/cm3. Alternatively, a graded dopant profile may be utilized with the highest dopant concentration being at the interface between the insulator layer 16 and the cap layer 18.

The thickness of the cap layer 18 can be from 1 Å to 1000 Å, although greater thicknesses can also be employed.

The SOI layer 20 comprises a second semiconductor material including, for example Si, SiC, SiGe, SiGeC, Ge alloys, GaAs, InAs, InP as well as other III-V or II-VI compound semiconductors. In one embodiment, the second semiconductor material of the SOI layer 20 may be comprised of a same semiconductor material as that of the handle substrate 12. In another embodiment, the second semiconductor material of the SOI layer 20 may be comprised of a different semiconductor material as that of the handle substrate 12.

The SOI layer 20 may be doped, undoped or contain doped and undoped regions therein. For clarity, the doped regions are not specifically shown in the drawings of the present disclosure. Each doped region within the SOI layer 20 may have the same, or they may have different conductivities and/or doping concentrations. The doped regions that are present in the SOI layer 20 can be formed by ion implantation process or gas phase doping. The dopant concentration in doped regions of the semiconductor material layer 20 can be optimized for device performance.

The thickness of the SOI layer 20 can be from 5 nm to 35 nm, although lesser and greater thicknesses can also be employed.

The SOI layer 20 can be a contiguous layer that spans across the entirety of the SOI structure as shown in FIGS. 1 and 2, or a SOI mesa 22 as shown in FIGS. 3 and 4. The SOI mesa 22 is a semiconductor island that has vertical sidewall edges that do not extend beyond, and are not vertically aligned to, vertical sidewall edges of the cap layer 18. The SOI mesa 22 may include a single mesa structure, or a plurality of mesa structures can be located atop the cap layer 18. The width of each SOI mesa 22 may vary depending on the conditions of the lithographic process used to pattern the same and the type of resultant device being fabricating therefrom. In one embodiment, the width of the SOI mesa 22, as measured from one vertical sidewall edge to another vertical sidewall edge, is from 5 nm to 100 nm.

The SOI mesa 22 can be formed by removal of selective portions of SOI layer 20. The removing of selective portions of the semiconductor layer 20 can be performed by lithography and etching. The lithographic step includes forming a photoresist atop the SOI layer 20, exposing the photoresist to a pattern of irradiation, and developing the exposed photoresist utilizing a conventional resist developer. The etching step includes a wet chemical etch process, a dry etch (e.g., reactive ion etching, plasma etching, ion beam etching or laser ablation) process or any combination thereof.

The SOI structures shown in FIGS. 1-4 can be used in forming various semiconductor devices including, but not limited to, FETs, FinFETs, and nanowire FETs. The various semiconductor devices can abut the SOI layer or the at least one SOI mesa. In some embodiments, the semiconductor device is located in, and upon, the SOI layer. In other embodiments, the semiconductor devices are located in and upon exposed surfaces (sidewall and optionally uppermost surfaces) of each SOI mesa. The various semiconductor devices that can be formed include materials that are well known to those skilled in the art and such semiconductor devices can be formed utilizing processing techniques that are well known to those skilled in the art. Detailed concerning the materials of the semiconductor devices and the methods used in forming the same are not provided herein so as not to obscure the various embodiments of the present disclosure.

While the present disclosure has been particularly shown and described with respect to various embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present disclosure. It is therefore intended that the present disclosure not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims

1. A semiconductor-on-insulator (SOI) structure comprising:

a handle substrate comprising a first semiconductor material;
an insulator layer located atop an uppermost surface of the handle substrate;
a cap layer comprising a boron-rich compound or doped boron nitride located atop the insulator layer; and
an SOI layer comprising a second semiconductor material and located atop the cap layer.

2. The SOI structure of claim 1, wherein the cap layer is a boron-rich compound comprising boron-rich boron nitride (BN), boron-rich silicon boron nitride (SiBN), boron-rich carbon boron nitride (CBN), boron-rich boron silicon (BSi), or boron-rich boron silicon oxide (BSiO).

3. The SOI structure of claim 2, wherein the boron is uniformly distributed throughout the cap layer.

4. The SOI structure of claim 2, wherein the boron has a gradient distribution with an amount of boron being greater at an interface between the cap layer and the insulator layer.

5. The SOI structure of claim 1, wherein the cap layer is doped boron nitride and dopants in the doped boron nitride comprise tungsten, tantalum, cobalt, molybdenum, or titanium.

6. The SOI structure of claim 5, wherein the doped boron nitride has a dopant concentration greater than 1×1019 atoms/cm3.

7. The SOI structure of claim 5, wherein the dopants are uniformly distributed throughout the cap layer.

8. The SOI structure of claim 5, wherein the dopants have a gradient distribution with an amount of dopants being greater at an interface between the cap layer and the insulator layer.

9. The SOI structure of claim 1, wherein the insulator layer comprises silicon oxide or boron nitride.

10. The SOI structure of claim 9, further comprising a layer of insulating oxide located between the handle substrate and the insulator layer when the insulator layer is composed of boron nitride.

11. The SOI structure of claim 10, wherein the layer of insulating oxide comprises silicon oxide, silicon germanium oxide, or an oxide of a silicon carbon alloy.

12. The SOI structure of claim 1, wherein the first semiconductor material and the second semiconductor material are comprised of a same semiconductor material and are selected from the group consisting of silicon, germanium, silicon-germanium alloy, silicon carbon alloy, silicon-germanium-carbon alloy, III-V compound semiconductor materials, II-VI compound semiconductor materials, and organic semiconductor materials.

13. The SOI structure of claim 1, wherein the first semiconductor material and the second semiconductor material are comprised of different semiconductor materials and said first semiconductor material and said second semiconductor material are selected from the group consisting of silicon, germanium, silicon-germanium alloy, silicon carbon alloy, silicon-germanium-carbon alloy, III-V compound semiconductor materials, II-VI compound semiconductor materials, and organic semiconductor materials.

14. The SOI structure of claim 1, further comprising at least one semiconductor device abutting the SOI layer.

15. A semiconductor-on-insulator (SOI) structure comprising:

a handle substrate comprising a first semiconductor material;
an insulator layer located atop an uppermost surface of the handle substrate;
a cap layer comprising a boron-rich compound or doped boron nitride located atop the insulator layer; and
at least one SOI mesa comprising a second semiconductor material and located atop the cap layer, said at least one SOI mesa having vertical sidewall edges that do not extend beyond, and are not vertically aligned to, vertical sidewall edges of the cap layer.

16. The SOI structure of claim 15, wherein the cap layer is a boron-rich compound comprising boron-rich boron nitride (BN), boron-rich silicon boron nitride (SiBN), boron-rich carbon boron nitride (CBN), boron-rich boron silicon (BSi), or boron-rich boron silicon oxide (BSiO).

17. The SOI structure of claim 15, wherein the cap layer is doped boron nitride and dopants in the doped boron nitride comprise tungsten, tantalum, cobalt, molybdenum, or titanium.

18. The SOI structure of claim 17, wherein the doped boron nitride has a dopant concentration greater than 1×1019 atoms/cm3.

19. The SOI structure of claim 15, wherein the insulator layer comprises silicon oxide or boron nitride.

20. The SOI structure of claim 19, further comprising a layer of insulating oxide located between the handle substrate and the insulator layer when the insulator layer is composed of boron nitride.

Patent History
Publication number: 20150243740
Type: Application
Filed: Feb 24, 2014
Publication Date: Aug 27, 2015
Patent Grant number: 9231063
Applicant: International Business Machines Corporation (Armonk, NY)
Inventors: Alfred Grill (White Plains, NY), Deborah A. Neumayer (Danbury, CT), Kenneth P. Rodbell (Sandy Hook, CT)
Application Number: 14/187,742
Classifications
International Classification: H01L 29/36 (20060101); H01L 27/12 (20060101);