INTEGRATED CIRCUITS WITH DUAL SILICIDE CONTACTS AND METHODS FOR FABRICATING SAME

Integrated circuits with dual silicide contacts are provided. In an embodiment, an integrated circuit includes a semiconductor substrate including a first area and a second area. The integrated circuit includes a first source/drain region in and/or overlying the first area of the semiconductor substrate and a second source/drain region in and/or overlying the second area of the semiconductor substrate. The integrated circuit further includes a first contact over the first source/drain region and comprising a first metal silicide. The integrated circuit also includes a second contact over the second source/drain region and comprising a second metal silicide different from the first metal silicide.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATION

This is a divisional application of U.S. patent application Ser. No. 14/043,017, filed Oct. 1, 2013.

TECHNICAL FIELD

The present disclosure generally relates to integrated circuits and methods for fabricating integrated circuits, and more particularly relates to integrated circuits with dual silicide contacts and methods for fabricating integrated circuits with dual silicide contacts.

BACKGROUND

The majority of present day integrated circuits are implemented by using a plurality of interconnected field effect transistors (FETs), also called metal oxide semiconductor field effect transistors (MOSFETs or MOS transistor devices). Such a transistor device includes a gate electrode as a control electrode that is formed overlying a semiconductor substrate and spaced-apart source and drain regions that are formed within the semiconductor substrate and between which a current can flow. A control voltage applied to the gate electrode controls the flow of current through a channel in the semiconductor substrate between the source and drain regions and beneath the gate electrode.

The MOS transistor device is accessed via a conductive contact typically formed on the source/drain regions between the gate electrodes of two MOS transistor devices. The conductive contact is usually formed by siliciding a metal on the source/drain regions and then depositing an insulating layer over the silicided source/drain regions and etching a contact opening in the insulating layer. A thin barrier layer, typically of titanium nitride and/or other metals and alloys, is deposited in the contact opening and the opening then is filled by a chemical vapor deposited layer of tungsten.

At reduced technology nodes, more and more circuitry is incorporated on a single integrated circuit chip and the sizes of each individual device in the circuit and the spacing between device elements decreases. However, one of the limiting factors in the continued shrinking of integrated semiconductor devices is the resistance of contacts to doped regions such as the source and drain regions. As device sizes decrease, the width of contacts decreases. As the width of the contacts decreases, the resistance of the contacts becomes increasingly larger. In turn, as the resistance of the contacts increases, the drive current of the devices decreases, thus adversely affecting device performance. Therefore, the importance of reducing contact resistance at source/drain regions is amplified at reduced technology nodes.

Accordingly, it is desirable to provide integrated circuits and methods for fabricating integrated circuits that exhibit lower contact resistance. In addition, it is desirable to provide integrated circuits and methods for fabricating integrated circuits that utilize dual silicide contacts, i.e., two different types of silicide contacts for PFET and NFET devices, to reduce contact resistance. Furthermore, other desirable features and characteristics of the present invention will become apparent from the subsequent detailed description of the invention and the appended claims, taken in conjunction with the accompanying drawings and this background of the invention.

BRIEF SUMMARY

Integrated circuits with dual silicide contacts are provided. In accordance with one embodiment, an integrated circuit includes a semiconductor substrate including a first area and a second area. The integrated circuit includes a first source/drain region in and/or overlying the first area of the semiconductor substrate and a second source/drain region in and/or overlying the second area of the semiconductor substrate. The integrated circuit further includes a first contact over the first source/drain region and comprising a first metal silicide. The integrated circuit also includes a second contact over the second source/drain region and comprising a second metal silicide different from the first metal silicide.

In another embodiment, an integrated circuit includes a semiconductor substrate including a PFET area and an NFET area. A PFET gate structure is interposed between source/drain regions in the PFET area. An NFET gate structure interposed between source/drain regions in the NFET area. The integrated circuit includes first contacts on the source/drain regions in the PFET area, wherein the first contacts are a first metal silicide. The integrated circuit includes second contacts on the source/drain regions in the NFET area, wherein the second contacts are a second metal silicide different from the first metal silicide. The integrated circuit further includes a second metal layer, wherein first portions of the second metal layer are overlying the first contacts and second portions of the second metal layer are overlying the second contacts, and wherein the second metal silicide is formed from the second portions of the second metal layer.

In accordance with another embodiment, an integrated circuit is provided and includes a semiconductor substrate having PFET areas and NFET areas. First contacts are over the semiconductor substrate in the PFET areas, wherein the first contacts are a first metal silicide. Second contacts are over the semiconductor substrate in the NFET areas, wherein the second contacts are a second metal silicide different from the first metal silicide.

BRIEF DESCRIPTION OF THE DRAWINGS

Embodiments of integrated circuits with dual silicide contacts and methods for fabricating integrated circuits with dual silicide contacts will hereinafter be described in conjunction with the following drawing figures, wherein like numerals denote like elements, and wherein FIGS. 1-14 illustrate, in cross section, a portion of an integrated circuit and method steps for fabricating an integrated circuit in accordance with various embodiments herein.

DETAILED DESCRIPTION

The following detailed description is merely exemplary in nature and is not intended to limit the integrated circuits or the methods for fabricating integrated circuits as claimed herein. Furthermore, there is no intention to be bound by any expressed or implied theory presented in the preceding technical field, background or brief summary, or in the following detailed description.

In accordance with the various embodiments herein, integrated circuits with dual silicide contacts and methods for fabricating integrated circuits with dual silicide contacts are provided. Specifically, integrated circuits described herein are provided with two different types of silicide contacts, each of which is optimized for contacting source/drain regions in either PFET devices or NFET devices. In an exemplary embodiment, a method for fabricating an integrated circuit includes selectively forming a first metal over a PFET area of a semiconductor substrate and annealing the first metal to form first silicide contacts. Further, the exemplary method includes forming a second metal over an NFET area of the semiconductor substrate and annealing the second metal to form second silicide contacts. By optimizing the silicide contacts provided on PFET devices and NFET devices on the integrated circuit, contact resistance is lowered and device performance is improved.

FIGS. 1-14 illustrate a method for fabricating integrated circuits with dual silicide contacts in accordance with various embodiments herein. FIGS. 1-5 illustrate an embodiment for forming first silicide contacts on PFET devices, and FIGS. 6-10 illustrate an alternate embodiment for forming first silicide contacts on PFET devices. FIGS. 11-14 illustrate an embodiment for forming second silicide contacts on NFET devices. Various steps in the design and composition of integrated circuits are well known and so, in the interest of brevity, many conventional steps will only be mentioned briefly herein or will be omitted entirely without providing the well known process details. Further, it is noted that integrated circuits include a varying number of components and that single components shown in the illustrations may be representative of multiple components.

Turning now to FIG. 1, in an exemplary embodiment, the process of fabricating an integrated circuit 10 begins by providing a semiconductor substrate 12 on which gate structures, source/drain regions, and other features may be formed. The semiconductor substrate 12 is typically a silicon wafer and includes various doping configurations as is known in the art to define P-channel field effect transistor (PFET) areas 14 and an N-channel FET (NFET) areas 16. The semiconductor substrate 12 may also include other elementary semiconductor materials such as germanium. Alternatively, the semiconductor substrate 12 may include a compound semiconductor such as, silicon carbide, gallium arsenide, indium arsenide, or indium phosphide. Further, the semiconductor substrate 12 may optionally include an epitaxial layer (epi layer), may be strained for performance enhancement, and/or may include a silicon-on-insulator (SOI) structure. Further, the semiconductor substrate 12 may be formed into fin structures for use in FinFETs. The semiconductor substrate may further encompass areas of Shallow Trench Isolation (STI) processed before the gate and which separate PFET active areas from NFET active areas. The detailed fabrication of STI well known and does not directly affect the subject matter herein.

As shown, gate structures 18 are formed overlying the semiconductor substrate 12 in both the PFET areas 14 and the NFET areas 16. Each gate structure 18 can be realized as a composite structure or stack that is formed from a plurality of different layers and materials. In this regard, the gate structures 18 can be formed by conformally depositing layers of material, using photolithographic techniques to pattern the deposited layers of material, and selectively etching the patterned layers to form the desired size and shape for the gate structures 18. For example, a relatively thin layer of dielectric material (commonly referred to as the gate insulator) can be initially deposited over the semiconductor substrate 12 using, for example, a sputtering, chemical vapor deposition (CVD) or atomic layer deposition (ALD) technique. Alternatively, this gate insulator layer could be formed by growing a dielectric material, such as silicon dioxide, on exposed silicon surfaces of the semiconductor substrate 12. In certain embodiments, a gate electrode material, such as a polycrystalline silicon material or a metal material (e.g., titanium nitride, tantalum nitride, tungsten nitride, or another metal nitride) is formed overlying the gate insulator layer. For advanced CMOS technology, gate processing is typically processed by first patterning a dummy polysilicon or amorphous silicon layer in the shape of the gate, acting as a placeholder until being further removed and replaced with a metal in a damascene way. This is referred to as the Removal Metal Gate or RMG technique

Another insulating material may then be formed overlying the gate electrode material for use as a hard mask. This insulating material (such as silicon nitride) can be deposited using, for example, a sputtering or CVD technique. This insulating material can then be photolithographically patterned as desired to form a gate etch mask for etching of the gate structures 18. The underlying gate material is anisotropically etched into the desired topology that is defined by the gate etch mask. After patterning, the insulating material remains on the gate structures 18 as gate caps 22. It should be appreciated that the particular composition of the gate structures 18 and the manner in which they are formed may vary from one embodiment to another, and that the brief description of the gate stack formation is not intended to be limiting or restrictive of the recited subject matter.

In the exemplary embodiment, spacers 26 are formed around the sides of gate structures 18 and gate caps 22. The spacers 26 can be fabricated using conventional process steps such as material deposition, photolithography, and etching. In this regard, formation of the spacers 26 may begin by conformally depositing a spacer material overlying the gate caps 22, gate structures 18 and semiconductor substrate 12. The spacer material is an appropriate insulator, such as silicon nitride, and the spacer material can be deposited in a known manner by, for example, atomic layer deposition (ALD), CVD, LPCVD, semi-atmospheric chemical vapor deposition (SACVD), or PECVD. The spacer material is deposited to a thickness so that, after anisotropic etching, the spacers 26 have a thickness that is appropriate for the subsequent etching steps described below. Thereafter, the spacer material is anisotropically and selectively etched to define the spacers 26. In practice, the spacer material can be etched by, for example, reactive ion etching (RIE) using a suitable etching chemistry.

After the spacers 26 have been created, other processing may be performed to form source/drain regions 30 in PFET areas 14 and the NFET areas 16 of the semiconductor substrate 12. For example, various ion implantations may be performed on the semiconductor substrate 12 using the gate structures 18 as ion implantation masks to form desired doped source/drain regions 30 for the PFET areas 14 and NFET areas 16. Ion implantations may be sequentially performed on PFET areas 14 and NFET areas 16 by selectively masking one type of area while implanting conductivity-determining ions in the other. For example, a hard mask is deposited over the semiconductor substrate 12 and is patterned to expose the areas of the desired typed, e.g., PFET areas 14. An implantation or implantations are performed to introduce selected conductivity-determining ions into the semiconductor substrate 12 to form appropriately doped source/drain regions 30. The hard mask is removed and the process is then repeated for the areas of the other type, e.g., NFET areas 16. Annealing processes may also be performed to drive the conductivity-determining ions further into the semiconductor substrate 12. Additionally or alternatively, exposed portions of semiconductor substrate 12 in the source/drain regions 30 may be removed to form recesses and semiconductor stressors may be re-grown in the resulting recesses. In an exemplary embodiment, the semiconductor stressors in PFET areas 14 may comprise silicon germanium (SiGe) and the semiconductor stressors in NFET areas 16 may comprise silicon.

The manufacturing process may proceed by forming a dielectric material 34 overlying the gate structures 18, gate caps 22 and spacers 26, and source/drain regions 30. The dielectric material 34 may be formed by CVD, spin-on, sputtering, or other suitable methods. The dielectric material 34 may include silicon oxide, silicon oxynitride, or a suitable low-k material. In the exemplary embodiment, the dielectric material 34 is planarized to the height of the gate caps 22, such as by chemical mechanical planarization (CMP). At this point in the fabrication process, previously unoccupied space around the spacers 26 has been completely filled with the dielectric material 34. For an RMG process, the sacrificial or dummy gate material is removed, high permitivity gate oxide processed, and metal gate deposited.

After the dielectric material 34 has been deposited, the process may continue in FIG. 2 by selectively removing dielectric material 34 overlying the PFET areas 14 and NFET areas 16 (the dielectric material 34 may remain covering other features or regions on the semiconductor substrate 12 unrelated to the current process). In an exemplary embodiment, the dielectric material 34 is removed by patterning a photoresist film over the dielectric material and performing a reactive ion etch (RIE) to remove the exposed dielectric material 34.

A first metal layer 40 is then deposited overlying the gate structures 18, gate caps 22 and spacers 26, and source drain regions 30 in both the PFET areas 14 and NFET areas 16. The first metal layer 40 is a metal that will be used to form silicide contacts in the PFET areas 14. Further, the silicide contacts in the PFET areas 14 must be able to withstand the NFET silicide contacts anneal later in the process. An exemplary first metal layer 40 is platinum. Alternatively, the first metal layer 40 may include nickel, other metals suitable for P-type contacts, or alloys of platinum, nickel, and/or the other suitable metals for P-type contacts. The first metal layer 40 may be conformally deposited by blanket physical vapor deposition (PVD) or another suitable method. An exemplary first metal layer 40 is deposited to a thickness of about 3 nanometers (nm) to about 15 nm.

FIG. 3 illustrates further processing of the partially fabricated integrated circuit 10. As noted above, the first metal layer 40 is selected to form contacts in the PFET areas 14 and is formed from metal optimized for PFET contacts. In order to prevent the formation of silicide contacts in the NFET areas 16 from the first metal layer 40, the first metal layer 40 is selectively removed from the NFET areas 16. For this reason, a mask layer 44 is deposited over the first metal layer 40. An exemplary mask layer 44 is formed from spin on carbon (SOC), an organic planarizing layer (OPL), or a deep ultra violet light absorbing oxide (DUO) material; however, any suitable material that may be patterned, selectively etched relative to the first metal layer 40, and easily removed from the partially fabricated integrated circuit 10 may be used. A photoresist film 46 may be formed over the mask layer 44 and patterned to expose the portions of the mask layer 44 overlying the NFET areas 16. Thereafter, the portions of the mask layer 44 overlying the NFET areas 16 are etched, such as by a RIE process, to expose the first metal layer 40 in the NFET areas 16.

The exposed first metal layer 40 in the NFET areas 16 is removed in FIG. 4. Specifically, an etch such as an aqua regia (nitro-hydrochloric acid) wet etch may selectively remove the first metal layer 40. Other suitable etching process may be used provided they do not etch, or only slightly etch, gate caps 22, spacers 26, and source/drain regions 30. In FIG. 4, the remaining photoresist film 46 and mask layer 44 are removed, such as by a reactive ion etch, for example an O2 plasma etch.

In FIG. 5, the process may continue by forming first silicide contacts 50 on the source/drain regions 30 in the PFET areas 14. Specifically, a low temperature anneal at a temperature of about 100° C. to about 450° C. is performed. Under this heat treatment, the first metal layer 40 reacts preferentially with the semiconductor material of the source/drain regions 30 in the PFET areas 14 to form first silicide contacts 50. The first metal layer 40 does not react with the dielectric material of the gate caps 22 and spacers 26. The unreacted first metal layer 40 is then selectively etched with an acidic solution from the gate caps 22 and spacers 26 such that only the first silicide contacts 50 remain. The structure of the partially fabricated integrated circuit 10 of FIG. 5 is then ready for formation of second contacts in the NFET areas 16. The process for forming second contacts in the NFET areas 16 is illustrated in FIGS. 11-14.

Before describing the process for forming second contacts in the NFET areas 16, an alternate embodiment for forming first silicide contacts in the PFET areas 14 is described in FIGS. 6-10. With cross-reference to FIG. 1, FIG. 6 illustrates the selective removal of dielectric material 34 overlying the PFET areas 14. The dielectric material 34 remains covering the NFET areas 16. In an exemplary embodiment, the dielectric material 34 is removed by patterning a photoresist film 54 over the dielectric material 34 and performing a reactive ion etch (RIE) to remove the exposed dielectric material 34 overlying the source/drain regions 30 in the PFET areas 14.

In FIG. 7, the photoresist film 54 is removed and a first metal layer 40 is formed over the partially fabricated integrated circuit 10. As shown, the exemplary first metal layer 40 is conformally deposited over the gate caps 22, spacers 26, and source/drain regions 30 in the PFET areas 14. Further, the exemplary first metal layer 40 is deposited over the gate caps 22 and dielectric material 34 in the NFET areas 16. As indicated above, the first metal layer 40 is a metal that will be used to form silicide contacts in the PFET areas 14. Further, the silicide contacts in the PFET areas 14 must be able to withstand the NFET silicide contacts anneal later in the process. An exemplary first metal layer 40 is platinum. Alternatively, the first metal layer 40 may include nickel, other metals suitable for P-type contacts, or alloys of platinum, nickel, and/or the other suitable metals for P-type contacts. The first metal layer 40 may be conformally deposited by blanket physical vapor deposition (PVD) or another suitable method. An exemplary first metal layer 40 is deposited to a thickness of about 3 nm to about 15 nm.

The process continues in FIG. 8 by forming first silicide contacts 50 on the source/drain regions 30 in the PFET areas 14. Specifically, a low temperature anneal at a temperature of about 100° C. to about 450° C. is performed. Under this heat treatment, the first metal layer 40 reacts preferentially with the semiconductor material of the source/drain regions 30 in the PFET areas 14 to form first silicide contacts 50. The first metal layer 40 does not react with the gate caps 22, spacers 26, and dielectric material 34. The unreacted first metal layer 40 is then selectively etched with an acidic solution from the gate caps 22, spacers 26, and dielectric material 34 such that only the first silicide contacts 50 remain.

In FIG. 9, mask layer 58 is formed over the PFET areas 14. Specifically, the mask layer 58 is deposited over the partially fabricated integrated circuit 10 and is patterned to expose the NFET areas 16. The mask layer 58 may be any material that can withstand selective etching of the dielectric material 34 in the NFET areas 16 and can be easily removed from the gate caps 22, spacers 26 and first silicide contacts 50 in the PFET areas 14. For example, the mask layer 58 can be a photoresist. After forming the mask layer 58 over the PFET areas 14, the dielectric material 34 is etched from the NFET areas 16, such as by performing an RIE process. The etch exposes the source/drain regions 30 in the NFET areas 16 as shown in FIG. 9. Alternatively, the dielectric material 34 can be etched without protecting the PFET area with mask layer 58 if the etch chemistry is selective to remove the dielectric relative to the first silicide contacts 50. For example, dilute HF may not require masking of the PFET area.

The mask layer 58 is then removed from the PFET areas 14 as shown in FIG. 10. Thus, the partially fabricated integrated circuit 10 is provided with the same structure as the partially fabricated integrated circuit of FIG. 5. Specifically, first silicide contacts 50 are formed on the source/drain regions 30 in the PFET areas 14, and the partially fabricated integrated circuit 10 is ready for further processing to form second silicide contacts in NFET areas 16.

The process for forming second silicide contacts on the source/drain regions 30 in the NFET areas 16 begins in FIG. 11. As shown, a second metal layer 60 is formed over the PFET areas 14 and NFET areas 16. Specifically, the exemplary second metal layer 60 is conformally deposited over the gate caps 22 and spacers 26, over the first silicide contacts 50 in the PFET areas 14, and over the source/drain regions 30 in the NFET areas 16. The second metal layer 60 includes a metal that will be used to form silicide contacts in the NFET areas 16 and will remain overlying the first silicide contacts 50 in the PFET areas 14. An exemplary second metal layer 60 includes titanium. Alternatively, the second metal layer 60 may include cobalt or other metals or alloys suitable for N-type contacts. The second metal layer 60 further includes a capping material for capping the silicide contacts in the PFET areas 14 and NFET areas 16. For example, the second metal layer 60 may include a titanium nitride capping material. The second metal layer 60 may be conformally deposited by blanket physical vapor deposition (PVD) or another suitable method. An exemplary second metal layer 60 is deposited to a thickness of about 5 nm to about 20 nm, for example an exemplary second metal layer 60 may include about 3 nm to about 15 nm titanium and about 2 nm to about 5 nm titanium nitride.

As shown in FIG. 12, after formation of the second metal layer 60 over the PFET areas 14 and NFET areas 16, a fill metal 70 is deposited over the partially fabricated integrated circuit 10. An exemplary fill metal 70 is tungsten, though copper or any other suitable conductive metal that is easy to deposit and polish may be used. The fill metal 70 may be conformally deposited such as by CVD. As shown, the fill metal 70 forms an overburden portion 74 located above the second metal layer 60 formed on the gate caps 22.

In FIG. 13, the second metal layer 60 overlying the gate caps 22 and the overburden portion 74 of the fill metal 70 are removed, such as by a planarization process. Further, second silicide contacts 80 are formed on the source/drain regions 30 in the NFET areas 16. For example, an anneal may be performed, such as at a temperature of from about 600° C. to about 850° C. Under this heat treatment, the metal in the second metal layer 60 reacts preferentially with the semiconductor material of the source/drain regions 30 in the NFET areas 16 to form second silicide contacts 80. The second metal layer 60 does not react with the gate caps 22, spacers 26, or first silicide contacts 50. Further, it is noted that in the silicidation reaction of titanium with silicon, silicon is the migrating species. Therefore, a titanium second silicide anneal does not degrade the first silicide contacts 50 in the PFET areas 14. Further, it is noted that while the fill metal 70 is deposited and planarized before the second silicide contacts 80 are formed in the illustrated embodiment, it is contemplated that the second silicide anneal be performed at any time after deposition of the second metal layer 60, such as before the fill metal deposition or before planarization.

The partially fabricated integrated circuit 10 of FIG. 13 is thus formed with contact structures 84 in the PFET areas 14 and NFET areas 16. Structurally, the contact structures 84 in the PFET areas 14 include first silicide contacts 50, second metal layer 60, and fill metal 70. The contact structures 84 in the NFET areas 16 include second silicide contacts 80, non-reacted portions of the second metal layer 60, and fill metal 70.

FIG. 14 illustrates further processing including the formation of an interconnect structure to provide electrical communication to the contact structures 84. As shown, an interlayer dielectric material 86 is deposited over the partially fabricated integrated circuit 10. Then, a gate interconnect 88 may be formed by selectively etching a trench or trenches 90 over a selected gate structure or gate structures 18. An interconnect metal 92 is then deposited to form the gate interconnect 88. Likewise, a source/drain interconnect 94 may be formed by selectively etching a trench or trenches 96 over a selected source/drain region or regions 30. Interconnect metal 92 is then deposited to form the source/drain interconnect 94.

As described herein, an integrated circuit fabrication process is implemented to form improved contacts to source/drain regions. Specifically, dual silicide contacts are formed, with first silicide contacts formed from a first metal optimized for PFET contacts and second silicide contacts formed from a second metal optimized for NFET contacts. Thus, contact resistance in both PFET and NFET areas are reduced and PFET and NFET device performance is optimized.

To briefly summarize, the fabrication methods described herein result in integrated circuits having source/drain contacts with improved performance. While at least one exemplary embodiment has been presented in the foregoing detailed description, it should be appreciated that a vast number of variations exist. Further, any refinement pertaining to the fabrication of Shallow Trench Isolation, or related to the inclusion or not on the semiconductor substrate of a Contact Etch Stop Layer (CESL) over source/drain regions, or related to the typical clean steps included prior to metal deposition in view of forming a good quality silicide has been omitted for the sake of clarity. It should also be appreciated that the exemplary embodiment or embodiments described herein are not intended to limit the scope, applicability, or configuration of the claimed subject matter in any way. Rather, the foregoing detailed description will provide those skilled in the art with a convenient road map for implementing the described embodiment or embodiments. It should be understood that various changes can be made in the function and arrangement of elements without departing from the scope defined by the claims, which includes known equivalents and foreseeable equivalents at the time of filing this patent application.

Claims

1. An integrated circuit comprising:

a semiconductor substrate including a first area and a second area;
a first source/drain region in and/or overlying the first area of the semiconductor substrate;
a second source/drain region in and/or overlying the second area of the semiconductor substrate;
a first contact over the first source/drain region and comprising a first metal silicide; and
a second contact over the second source/drain region and comprising a second metal silicide different from the first metal silicide.

2. The integrated circuit of claim 1 wherein the second metal silicide is formed from a second metal, and wherein the integrated circuit further comprises a layer of the second metal over the first contact.

3. The integrated circuit of claim 2 further comprising a metal fill material overlying the layer of second metal over the first contact and overlying the second contact.

4. The integrated circuit of claim 2 wherein the first metal silicide is platinum silicide, wherein the second metal silicide is titanium silicide, and wherein the second metal includes titanium.

5. The integrated circuit of claim 4 wherein the second metal includes a layer of titanium and a capping layer of titanium nitride.

6. The integrated circuit of claim 4 wherein the second metal includes a layer of titanium and cobalt and a capping layer of titanium nitride.

7. The integrated circuit of claim 4 wherein the metal fill material is tungsten.

8. The integrated circuit of claim 1 wherein the first metal silicide is on the first source/drain region and the second metal silicide is on the second source/drain region.

9. The integrated circuit of claim 8 wherein the second metal silicide is formed from a second metal, and wherein the integrated circuit further comprises a layer of the second metal on the first contact.

10. The integrated circuit of claim 9 further comprising a metal fill material, wherein a first portion of the metal fill material is on the layer of second metal on the first contact and a second portion of the metal fill material is on the second contact.

11. The integrated circuit of claim 8 wherein the second metal silicide is formed from a second metal, and wherein the integrated circuit further comprises:

a layer of the second metal on the first contact; and
a capping layer on the layer of the second metal on the first contact and on the second metal silicide.

12. The integrated circuit of claim 11 further comprising a metal fill material, wherein a first portion of the metal fill material is on the capping layer on the layer of second metal on the first contact and a second portion of the metal fill material is on the capping layer on the second metal silicide.

13. The integrated circuit of claim 1 wherein the first area is a PFET area and the second area is an NFET area.

14. An integrated circuit comprising:

a semiconductor substrate including a PFET area and an NFET area;
a PFET gate structure interposed between source/drain regions in the PFET area;
an NFET gate structure interposed between source/drain regions in the NFET area;
first contacts on the source/drain regions in the PFET area, wherein the first contacts are a first metal silicide;
second contacts on the source/drain regions in the NFET area, wherein the second contacts are a second metal silicide different from the first metal silicide; and
a second metal layer, wherein first portions of the second metal layer are overlying the first contacts and second portions of the second metal layer are overlying the second contacts, and wherein the second metal silicide is formed from the second portions of the second metal layer.

15. The integrated circuit of claim 14 further comprising:

a dielectric material overlying the contacts and gate structures;
an electrical gate contact in electrical connection with a selected gate structure; and
an electrical source/drain contact in electrical connection with a selected contact.

16. The integrated circuit of claim 14 further comprising a metal fill material overlying the first portions and second portions of the second metal layer.

17. The integrated circuit of claim 16 wherein the first metal silicide is platinum silicide, wherein the second metal silicide is titanium silicide, and wherein the second metal includes titanium.

18. The integrated circuit of claim 17 wherein the second metal layer includes titanium and a capping layer of titanium nitride.

19. The integrated circuit of claim 16 wherein the metal fill material is tungsten.

20. An integrated circuit comprising:

a semiconductor substrate having PFET areas and NFET areas;
first contacts over the semiconductor substrate in the PFET areas, wherein the first contacts are a first metal silicide; and
second contacts over the semiconductor substrate in the NFET areas, wherein the second contacts are a second metal silicide different from the first metal silicide.
Patent History
Publication number: 20160049490
Type: Application
Filed: Oct 27, 2015
Publication Date: Feb 18, 2016
Inventors: Guillaume Bouche (Albany, NY), Shao Ming Koh (Clifton Park, NY), Jeremy A. Wahl (Delmar, NY), Andy Wei (Queensbury, NY)
Application Number: 14/924,151
Classifications
International Classification: H01L 29/45 (20060101); H01L 27/092 (20060101);