MEMORY ARCHITECTURE OF THIN FILM 3D ARRAY

A 3D memory device includes an improved dual gate memory cell. The improved dual gate memory cell has a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on both the first and second side surfaces. The channel body has a depth between the first and second side surfaces less than a threshold channel body depth, combined with the gate structure which establishes an effective channel length of the cell greater than a threshold length. The combination of the channel body depth and effective channel length are related so that the cell channel body can be fully depleted, and sub-threshold leakage current can be suppressed when the memory cell has a high threshold state under a read bias.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
PRIORITY APPLICATIONS

This application is a divisional of U.S. patent application Ser. No. 13/970,482, filed 19 Aug. 2013 entitled Memory Architecture of Thin Film 3D Array which claims benefit of co-pending U.S. Provisional Patent Application No. 61/778,377 filed on 12 Mar. 2013. Both applications are incorporated by reference as if fully set forth herein.

FIELD OF THE INVENTION

The present invention relates to high density memory devices, and particularly to memory devices in which multiple planes of memory cells are arranged to provide a three-dimensional 3D array.

DESCRIPTION OF RELATED ART

As critical dimensions of devices in integrated circuits shrink, designers have been looking to techniques for stacking multiple planes of memory cells to achieve greater storage capacity, and to achieve lower costs per bit. For example, thin film transistor techniques are applied to charge trapping memory technologies in Lai, et al., “A Multi-Layer Stackable Thin-Film Transistor (TFT) NAND-Type Flash Memory,” IEEE Int'l Electron Devices Meeting, 11-13 Dec. 2006; and in Jung et al., “Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30 nm Node”, IEEE Int'l Electron Devices Meeting, 11-13 Dec. 2006.

It is desirable to provide a structure for three-dimensional integrated circuit memory with a low manufacturing cost, including reliable, very small memory elements and improved process window associated with neighboring stacks of memory cell strings having gate structures.

SUMMARY OF THE INVENTION

A 3D memory device includes an improved dual gate memory cell. The improved dual gate memory cell has a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on both the first and second side surfaces. The channel body of the improved dual gate memory cell has a depth between the first and second side surfaces, such as less than 30 nanometers, combined with a gate structure which establishes an effective channel length of the cell greater than a threshold length, such as greater than two-thirds the channel body depth, or in some embodiments greater than 20 nanometers.

The dual gate memory cell in a high density 3D embodiment includes memory elements that include dielectric charge trapping structures that include a tunneling layer, a dielectric charge trapping layer, and a blocking layer. In 3D embodiments, the channel body is part of a semiconductor strip in a stack of strips, where the thickness of the strips defines a width of the channel in a plane parallel to the side surfaces.

The channel body depth in a 3D vertical gate “3DVG” structure described herein corresponds to the width of a stack of semiconductor bit line strips configured to act as the channels in strings of memory cells on respective levels of the stack. Wider bit line strips cause wider threshold voltage VT and wider subthreshold shift SS distributions as illustrated in FIGS. 12 and 13. These wider distributions become unacceptable for reliable operation for 3D products of reasonable density, when the bit line strip depths exceed 30 nanometers. Furthermore, practical limitations on the width of the stacks arise from the difficulty of manufacturing stacks of semiconductors strips with high aspect ratios. For example, a 3DVG structure with an 8 layer stack with semiconductor strips having a thickness of 30 nanometers separated by 30 nanometer thick insulation layers, will have a total stack height of 240 nm. If the width of the stacks is 10 nanometers, then the aspect ratio is 24 (240/10 nm). Aspect ratios on the order of 24 or lower will be a challenge for process integration. Thus, it is considered important that the stacks of bit line strips have widths, at least at the lowest semiconductor strip in the stack, of more than 10 nanometers.

Also, the effective channel length of the 3DVG structure, and of other memory structures, must be long enough that the gate can control the channel effectively. For double gate MOSFET-like memory cells, the scaling limitation for short channel effect establishes a critical limitation for the effective channel length that is a function of the equivalent oxide thickness EOT of the charge storage structure of the memory cell and the channel body depth (i.e. width of the bit line strips in a 3DVG structure). Thus, it is important that the word line structures that provide the vertical gates for the memory cells have a width that is greater than the EOT of the charge storage structure, and greater than two-thirds the channel body depth.

The combination of the channel body depth and effective channel length are related, so that the cell channel body can be fully depleted, and sub-threshold leakage current can be suppressed when the memory cell has a high threshold state under a read bias operation.

Other aspects and advantages of the present invention can be seen on review of the drawings, the detailed description and the claims, which follow.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 is a perspective illustration of a 3D NAND-flash memory structure as described herein including a plurality of planes of semiconductor bit line strips parallel to a Y-axis, arranged in a plurality of ridge-shaped stacks, a charge trapping memory layer on side surfaces of the semiconductor bit line strips, and a plurality of word lines with conformal bottom surfaces arranged over the plurality of ridge-shaped stacks.

FIG. 2 is a cross-section of a memory cell taken in the X-Z plane from the structure of FIG. 1.

FIG. 3 is a cross-section of a memory cell taken in the X-Y plane from the structure of FIG. 1.

FIG. 4 is a cross-section of a memory cell taken in the X-Y plane from the structure of FIG. 1 with an alternative feature.

FIG. 5 is a schematic diagram of NAND flash memory having the structure of FIG. 1.

FIG. 6 illustrates a first stage in a process for manufacturing a memory device like that of FIG. 1.

FIG. 7 illustrates a second stage in a process for manufacturing a memory device like that of FIG. 1.

FIG. 8 illustrates a third stage in a process for manufacturing a memory device like that of FIG. 1.

FIG. 9 illustrates a third stage in a process for manufacturing a memory device like that of FIG. 1.

FIG. 10 illustrates a fourth stage in a process for manufacturing a memory device like that of FIG. 1, followed by further stages of a hard mask and an optional implant step.

FIG. 11 is a schematic diagram of an integrated circuit including a 3D NAND-flash memory array with row, column and plane decoding circuitry.

FIGS. 12-19 illustrate results from simulation of distributions of threshold voltage and sub-threshold slope in a dual gate memory cell.

FIGS. 20A-20D illustrate results from simulation of programming windows in a dual gate memory cell.

DETAILED DESCRIPTION

A detailed description of embodiments is provided with reference to the Figures.

FIG. 1 is a perspective drawing of a 2×2 portion of a 3DVG three-dimensional charge trapping memory array with fill material removed from the drawing to give a view of the stacks of semiconductor bit line strips and orthogonal word lines that make up the 3D array. In this illustration, only two memory planes are shown. However, the number of planes can be extended to very large numbers. As shown in FIG. 1, the memory array is formed on an integrated circuit substrate having an insulating layer 110 over underlying semiconductor or other structures (not shown). The memory array includes a plurality of stacks (two are shown in the drawing) of semiconductor bit line strips 111, 112, 113, 114 separated by insulating material 91, 92, 121, 122, 123, and 124. The stacks are ridge-shaped extending on the Y-axis as illustrated in the figure, so that the semiconductor bit line strips 111-114 can be configured as channel bodies of strings of dual gate memory cells. Semiconductor bit line strips 111 and 113 can act as channel bodies in a first memory plane. Semiconductor bit line strips 112 and 114 can act as channel bodies in a second memory plane. The semiconductor bit line strips have opposing first and second side surfaces.

The insulating material 121 between the semiconductor bit line strips 111 and 112 in a first stack and the insulating material 123 between semiconductor bit line strips 113 and 114 in the second stack can have an equivalent oxide thickness of about 40 nm or less, where equivalent oxide thickness EOT is a thickness of the insulating material normalized according to a ratio of the dielectric constant of silicon dioxide and the dielectric constant of the chosen insulation material. The term “about 40 nm” is used here to account for variations on the order of 10% or so, as arise typically in manufacturing structures of this type. The thickness of the insulating material can play a critical role in reducing interference between cells in adjacent layers of the structure.

A layer 115 of memory material, such as dielectric charge storage structures, coats the plurality of stacks of semiconductor bit line strips in this example. A plurality of word lines including word lines 116 and 117 is arranged orthogonally over the plurality of stacks of semiconductor bit line strips. The word lines 116 and 117 have surfaces conformal with the plurality of stacks of semiconductor bit line strips, filling the trenches (e.g. 120) defined by the plurality of stacks, and establishing a multi-layer 3D array of interface regions at cross-points between the first and second side surfaces of the semiconductor bit line strips 111-114 in the plurality of stacks and the plurality of word lines including word lines 116 and 117. A layer of silicide (e.g. tungsten silicide, cobalt silicide, titanium silicide) 118, 119 can be formed over the top surfaces of the the plurality of word lines including word lines 116, 117.

The charge storage structures disposed in the interface regions establish a 3D array of memory cells accessible via the plurality of semiconductor bit line strips and the plurality of word lines. The memory cells are arranged in strings between bit line structures and source line structures, as described in connection with FIG. 5. The charge storage structures comprise memory elements that can include dielectric charge trapping structures comprising a tunneling layer which can be formed of silicon oxide (O) about 1 to 4 nanometers thick, a dielectric charge trapping layer which can be formed of silicon nitride (N) about 5 to 8 nanometers thick (EOT of about 9.5 to 15.2), and a blocking layer which can be formed of silicon oxide (O) about 5 to 8 nanometers thick. The plurality of word lines can comprise polysilicon (S). The combined EOT for the charge storage structure can be about 16.5 to 27.2 in this example. The layer 115 of memory material can comprise other charge storage structures.

For example, a band gap engineered SONOS (BE-SONOS) charge storage structure can be used which includes a tunneling layer that includes a composite of materials forming an inverted “U” shaped valence band under zero bias. In one embodiment, the composite tunneling dielectric layer includes a first layer referred to as a hole tunneling layer, a second layer referred to as a band offset layer, and a third layer referred to as an isolation layer. The hole tunneling layer of the layer 115 in this embodiment comprises silicon dioxide on the side surface of the semiconductor bit line strips formed for example using in-situ steam generation ISSG with optional nitridation by either a post deposition NO anneal or by addition of NO to the ambient during deposition. The thickness of the first layer of silicon dioxide is less than 2 nanometers, and preferably 1.5 nanometers or less. Representative embodiments can be 1 nanometer or 1.2 nanometers thick.

The band offset layer in this embodiment comprises silicon nitride lying on the hole tunneling layer, formed for example using low-pressure chemical vapor deposition LPCVD, using for example dichlorosilane DCS and NH3 precursors at 680° C. In alternative processes, the band offset layer comprises silicon oxynitride, made using a similar process with an N2O precursor. The band offset layer thickness of silicon nitride is less than 3 nanometers, and preferably 2.5 nanometers or less.

The isolation layer in this embodiment comprises silicon dioxide, lying on the band offset layer of silicon nitride formed for example using LPCVD high temperature oxide HTO deposition. The thickness of the isolation layer of silicon dioxide is less than 3.5 nanometers, and preferably 2.5 nanometers or less. This three-layer tunneling layer results in an inverted U-shaped valence band energy level.

The valence band energy level at a first location is such that an electric field sufficient to induce hole tunneling through the thin region between the interface with the semiconductor body and the first location, is also sufficient to raise the valence band energy level after the first location to a level that effectively eliminates the hole tunneling barrier in the composite tunneling dielectric after the first location. This structure establishes an inverted U-shaped valence band energy level in the three-layer tunneling dielectric layer, and enables electric field assisted hole tunneling at high speeds while effectively preventing charge leakage through the composite tunneling dielectric in the absence of electric fields or in the presence of smaller electric fields induced for the purpose of other operations, such as reading data from the cell or programming adjacent cells. The EOT of a band gap engineered tunneling layer can be about 7 to 10 nanometers for example, making the EOT of a charge storage structure incorporating the band gap engineered tunneling layer fall in a range of about 21.5 to 33.2 nanometers in this example. Use of high-k dielectrics in the blocking layer or in other layers of the charge storage structure can result in even higher EOT values for the charge storage structures.

A charge trapping layer in the layer 115 of memory material in this embodiment comprises silicon nitride having a thickness greater than 5 nanometers, including for example about 7 nanometers in this embodiment formed for example using LPCVD. Other charge trapping materials and structures may be employed, including for example silicon oxynitride (SixOyNz), silicon-rich nitride, silicon-rich oxide, trapping layers including embedded nano-particles, and so on.

The blocking dielectric layer in the layer 115 of memory material in this embodiment comprises a layer of silicon dioxide having a thickness greater than 5 nanometers, including for example about 9 nanometers in this embodiment, can be formed by wet conversion from the nitride by a wet furnace oxidation process. Other embodiments may be implemented using high temperature oxide (HTO) or LPCVD SiO2. Other blocking dielectrics can include high-K materials like aluminum oxide and multi-layer blocking structures.

In another representative embodiment, the hole tunneling layer can be 1.3 nanometers of silicon dioxide; the band offset layer can be 2 nanometers of silicon nitride; the isolation layer can be 2.5 nanometers of silicon dioxide; the charge trapping layer can be 7 nanometers of silicon nitride; and the blocking dielectric layer can be silicon oxide 9 nanometers thick. The gate material can be p+ polysilicon (work function about 5.1 eV) used in the word lines 116, 117. Also, the gate material can be metal.

The channels of the dual gate memory cells in the structure of FIG. 1 are formed in the strips 111, 112, 113, 114 in channel body regions with dimensions discussed herein. The channel body regions have a channel body width W determined essentially by the thickness in the Z dimension of the strips (e.g. as labeled on strip 111). The channel body regions have a length L in the current flow dimension, determined essentially by the width in the Y direction of the word line structure (e.g. as labeled on word line 116) where it crosses the corresponding strip. The channel body regions have a channel body depth D determined by the width in the X dimension of the strip (e.g. as labeled on strip 112). The channel depth of a dual gate memory cell is a dynamic variable that depends on cell threshold, gate voltage, current magnitude and other features like doping concentrations. In a dual gate memory cells, in which the gate voltage is the same on both sides, the channel having the channel body width and length, grows inwardly from the opposing side surfaces as the cell bias exceeds threshold conditions, and current flows. When the dual gate cell is off, with gate voltages below threshold, a depletion region having the channel body width and length forms also, extending inwardly from the opposing side surfaces.

The semiconductor bit line strips (e.g. 112) have channel depths D between the first and second side surfaces (e.g. 141 and 142) so that channel body thicknesses of the memory cells are less than a threshold thickness for fully depleted operation where the depletion regions extending from the opposing sides merge when the corresponding memory cell has a high threshold state or a programmed state under a read bias operation. Memory cells having channel body thicknesses at the threshold thickness can transition partially depleted operation to fully depleted operation at operating voltages used for three dimensional memory arrays of dual gate memory cells. Simulation results for the threshold channel body thickness are described in connection with FIGS. 16-19.

The semiconductor bit line strips 111-114 can be instrinsic semiconductor material. The word lines 116, 117 can be a semiconductor material with a conductivity type (e.g. p+-type). For example, the semiconductor bit line strips 111-114 can be made using intrinsic of lightly doped polysilicon or single crystal silicon, while the word lines 116, 117 can be made using relatively heavily doped p+-type polysilicon.

FIG. 2 shows a cross-sectional view taken in the X-Z plane of the charge trapping memory cell formed at the intersection of word line 116 and semiconductor strip 114. Active charge trapping regions 125, 126 are formed on both side surfaces of the strip 114 between the word lines 116 and the strip 114. In the embodiment described here, as shown in FIG. 2, each memory cell is a double gate field effect transistor having active charge storage regions 125, 126, one on each side of the semiconductor strip 114. The strip 114 has a channel body depth D, and a channel body width W. Electron current as illustrated by the dotted arrow in the diagram flows along the p-type semiconductor bit line strips, to sense amplifiers where it can be measured to indicate the state of a selected memory cell.

FIG. 3 shows a cross-sectional view taken in the X-Y plane of the charge trapping memory cells formed at the intersection of the word lines 116, 117 and the semiconductor strip 114. The current path down the semiconductor strip 114 is illustrated. The source/drain regions 128, 129, 130 between the word lines 116, 117 can be “junction-free,” without source and drain doping, having a conductivity type opposite that of the channel regions beneath the word lines. In the junction-free embodiment, the charge trapping field effect transistors can have a p-type channel structure. Also, source and drain doping could be implemented in some embodiments, in a self-aligned implant after word line definition.

The word lines 116 and 117 have widths 310 along the first and second side surfaces of the semiconductor material strip 114. Memory cells are formed at interface regions at cross-points between the first and second side surfaces of the semiconductor material strip 114 and the plurality word lines 116 and 117. In operation, when voltage is applied to a gate structure of a memory element via one of the word lines, a channel region in a memory cell corresponding to the memory element beneath the gate structure is turned on, along the first and second side surfaces. Thus, the widths 310 of the word lines correspond to effective channel lengths L in the channel body regions of the memory cells.

FIG. 4 is a cross-sectional view of a memory cell taken in the X-Y plane like that of FIG. 3. FIG. 4 differs from FIG. 3 in that the regions 128a, 129a and 130a along the side surfaces (e.g. 114A) of the semiconductor strip 114 may have the memory material removed.

In a nonvolatile memory chip, such as a NAND flash memory, a word line pass voltage V-PASS can be used to pass unselected cells, when a selected cell is programmed or read. An example selected cell can be the charge trapping memory cell formed at the intersection of word line 116 and semiconductor strip 114 as illustrated in FIG. 2. Active charge trapping regions 125, 126 are formed on the both side surfaces of the strip 114 between the word lines 116 and the strip 114.

In read operations, the word line pass voltage V-PASS is applied to unselected word lines (e.g. 117, FIG. 3) in a NAND string, and a read bias is applied to a selected word line (e.g. 116, FIG. 3) in the NAND string. Programmed memory cells can have at least a high threshold state and a low threshold state. Typically, the word line pass voltage V-PASS needs to be higher than both high and low threshold states of memory cells in the NAND string to make unselected cells in a NAND string act as pass transistors.

The read bias applied to the selected cell is such that the channel body is fully depleted if the selected cell has a high threshold state, relative to the read bias, and is conducting if the cell has a low threshold state. For instance, the channel body at cross-points of semiconductor strip 114 and word line 116 has a high threshold state and is fully depleted as indicated by a depletion region 320, while the channel body at cross-points of semiconductor strip 114 and word line 117 has a low threshold state and is conducting as indicated by the dotted arrow.

The word lines 116 and 117 have widths 310 along the first and second side surfaces of the semiconductor material strip 114 so that effective channel lengths L in the channel body regions of the memory cells are greater than a threshold length to suppress sub-threshold leakage current when the corresponding memory cell has a high threshold state under a read bias. Simulation results for the threshold effective channel lengths L are described in connection with FIGS. 12-15 and 20A-20D.

The sub-threshold leakage current is the current that flows between the source and drain of a MOSFET (metal-oxide-semiconductor-field-effect-transistor) when the transistor is in sub-threshold region, or when the gate-to-source voltages are below the threshold voltage (VT) of the transistor and ideally there would be no current flowing between the source and drain. The sub-threshold leakage current is relatively small. However, as transistors are continually scaled down and the power supply is continually scaled down, proportion of the sub-threshold leakage current increases as compared to total power consumption. Suppression of sub-threshold leakage current can reduce dynamic power consumption of memory cells, and help improve reliability of memory cells.

FIG. 5 is a schematic diagram showing 2 planes of memory cells having 6 charge trapping cells per plane arranged in a NAND configuration, which is representative of a cube which can include many planes and many word lines. The 2 planes of memory cells are defined at the cross-points of word lines 160, 161 acting as word lines WLn-1, WLn, with a first stack of semiconductor bit line strips, a second stack of semiconductor bit line strips and a third stack of semiconductor bit line strips.

The first plane of memory cells includes memory cells 70, 71 in a NAND string on a semiconductor strip, memory cells 73, 74 in a NAND string on a semiconductor strip, and memory cells 76, 77 in a NAND string on a semiconductor strip. Each NAND string is connected to a ground select transistor on either side (e.g., ground select devices 90, 72 on either side of the NAND string including memory cells 70, 71).

The second plane of memory cells corresponds with a bottom plane in the cube in this example, and includes memory cells (e.g. 80, 82, 84, 81, 86, 87) arranged in NAND strings in a similar manner to those in the first plane.

As shown in the figure, the word line 161 acting as word line WLn includes vertical extensions which correspond with the material in the trench 120 shown in FIG. 1 between the stacks, in order to couple the word line 161 to the memory cells (cells 71, 74, 77 in the first plane) in the interface regions in the trenches between the semiconductor bit line strips in all of the planes.

The memory array includes bit line structures including bit lines, and source line structures including source lines. Memory cells are arranged in strings between the bit line structures and source line structures. Memory cell strings in adjacent stacks alternate between a bit line end-to-source line end orientation and a source line end-to-bit line end orientation.

Bit lines BLN and BLN−1 in the bit line structures terminate the memory cell strings, adjacent to the string select devices. For example, in the top memory plane, bit line BLN terminates the memory cell strings which have string select transistors 85 and 89. By contrast, the bit line is not connected to trace 88, because the strings of adjacent stacks alternate between a bit line end-to-source line end orientation and a source line end-to-bit line end orientation. So instead for this string, the corresponding bit line is connected to the other end of the string. In the bottom memory plane, bit line BLN−1 terminates the memory cell strings which have corresponding string select transistors.

String select transistors 85, 89 are connected between respective NAND strings and string select lines SSLn−1 and SSLn in this arrangement. Likewise, similar string select transistors on a bottom plane in the cube are connected between respective NAND strings and string select lines SSLn−1 and SSLn in this arrangement. String select lines 106, 108, are connected to different ridges, to the gates of string select transistors in each memory cell string, and provide in this example string select signal SSLn−1, SSLn and SSLn+1.

By contrast, a string select transistor is not connected to trace 88, because the strings of adjacent stacks alternate between a bit line end-to-source line end orientation and a source line end-to-bit line end orientation. So instead for this string, the corresponding string select transistor is connected to the other end of the string. The NAND string with memory cells 73, 74 also has a string select device, not shown, on the other end of the string. The trace 88 is terminated by a source line 107 in the source line structures.

Ground select transistors 90-95 are arranged at the first ends of the NAND strings. Ground select transistors 72, 75, 78 and corresponding second plane ground select transistors are arranged at the second ends of the NAND strings. Accordingly, ground select transistors are on both ends of the memory strings. Depending on the particular end of the memory string, the ground select transistor couples the memory string to a source line, or to a string select device and bit line.

The ground select signal GSL 159 in this example is coupled to the gates of the ground select transistors 90-95, and can be implemented in the same manner as the word lines 160, 161. The string select transistors and ground select transistors can use the same dielectric stack as a gate oxide as the memory cells in some embodiments. In other embodiments, a typical gate oxide is used instead. Also, the channel lengths and widths can be adjusted as suits the designer to provide the switching function for the transistors.

FIGS. 6-10 illustrate stages in a basic process flow for implementing 3D memory arrays as described above utilizing only 2 pattern masking steps that are critical alignment steps for array formation. In FIG. 6, a structure is shown which results from alternating deposition of insulating layers 210, 212, 214 and semiconductor layers 211, 213 formed using doped semiconductors for example in a blanket deposition in the array area of a chip. Depending on the implementation, the semiconductor layers 211, 213 can be implemented using polysilicon or epitaxial single crystal silicon. Inter-level insulating layers 210, 212, 214 can be implemented for example using silicon dioxide, other silicon oxides, or silicon nitride. These layers can be formed in a variety of ways, including low pressure chemical vapor deposition LPCVD processes available in the art.

FIG. 7 shows the result of a first lithographic patterning step used to define a plurality of ridge-shaped stacks 250 of semiconductor bit line strips, where the semiconductor bit line strips are implemented using the material of the semiconductor layers 211, 213, and separated by the insulating layers 210, 212, 214. Deep, high aspect ratio trenches can be formed in the stack, supporting many layers, using lithography based processes applying a carbon hard mask and reactive ion etching. The plurality of ridge-shaped stacks 250 of semiconductor bit line strips are formed on an integrated circuit substrate having an insulating layer 710 over underlying semiconductor or other structures (not shown). This patterning step can establish a minimum critical dimension for the widths of the semiconductor bit line strips as discussed above, because of practical limitations on the achievable aspect ratio.

Although not shown, at this step the alternating orientations of the memory strings are defined: the bit line end-to-source line end orientation, and the source line end-to-bit line end orientation

FIG. 8 shows the next stage for an embodiment including a programmable charge trapping memory structure such as a BE-SONOS type memory cell structure.

FIG. 8 shows results of blanket deposition of a layer 315 that comprises a multilayer charge trapping structure including a tunneling layer 397, a dielectric charge trapping layer 398 and a blocking layer 399 as described above in connection with FIG. 1. As shown in FIG. 8, the memory layer 315 is deposited in a conformal manner over the ridge-shaped stacks (250 of FIG. 7) of semiconductor bit line strips.

FIG. 9 shows the results of a high aspect ratio fill step in which conductive material, such as polysilicon having n-type or p-type doping, metal, and combinations of conductive materials chosen for work function, conductivity and manufacturability, to be used for the word lines, is deposited to form layer 225. Also, a layer of silicide 226 can be formed over the layer 225 in embodiments in which polysilicon is utilized. As illustrated in the figure, high aspect ratio deposition technologies such as low-pressure chemical vapor deposition of polysilicon in the illustrated embodiments is utilized to completely fill the trenches 220 between the ridge-shaped stacks, even very narrow trenches on the order of 10 nanometers wide with high aspect ratio.

FIG. 10 shows results of the second lithographic patterning step used to define a plurality of word lines 260 which act as word lines for the 3D memory array. The second lithographic patterning step utilizes a single mask for critical dimensions of the array for etching high aspect ratio trenches between the word lines, without etching through the ridge-shaped stacks. Polysilicon can be etched using an etch process that is highly selective for polysilicon over silicon oxides or silicon nitrides. Thus, alternating etch processes are used, relying on the same mask to etch through the conductor and insulating layers, with the process stopping on the underlying insulating layer 710 in the integrated circuit substrate.

At this step, the ground select lines can also be defined. At this step, the gate structures which are controlled by string select lines can also be defined, although the gate structures are conformal to individual semiconductor strip stacks.

An optional manufacturing step includes forming hard masks over the plurality of word lines, and hard masks over the gate structures. The hard masks can be formed using a relatively thick layer of silicon nitride or other material which can block ion implantation processes. After the hard masks are formed, an implant can be applied to increase the doping concentration in the semiconductor bit line strips, and in stairstep structures, and thereby reduce the resistance of the current path along the semiconductor bit line strips. By utilizing controlled implant energies, the implants can be caused to penetrate to the bottom semiconductor strip, and each overlying semiconductor strip in the stacks.

Subsequently, the hard masks are removed, exposing the silicide layers along the top surfaces of the word lines, and over the gate structures. After an interlayer dielectric is formed over the top of the array, vias are opened in which contact plugs, using tungsten fill for example, are formed reaching to the top surfaces of the gate structures. Overlying metal lines are patterned to connect as SSL lines, to column decoder circuits. A three-plane decoding network is established, accessing a selected cell using one word line, one bit line and one SSL line. See, U.S. Pat. No. 6,906,940, entitled Plane Decoding Method and Device for Three Dimensional Memories.

This second patterning step can establish second critical dimensions for the memory cells that impact the effective channel length of the cells, as discussed above.

FIG. 11 is a simplified block diagram of an integrated circuit according to an embodiment of the present invention. The integrated circuit 975 includes a 3D NAND flash memory array 960, implemented as described herein, on a semiconductor substrate with alternating memory string orientations of bit line end-to-source line end orientation and source line end-to-bit line end orientation, and at either end of the stacks with the string select line gate structure on every other stack. A row decoder 961 is coupled to a plurality of word lines 962, and arranged along rows in the memory array 960. A column decoder 963 is coupled to a plurality of SSL lines 964 arranged along columns corresponding to stacks in the memory array 960 for reading and programming data from the memory cells in the array 960. A plane decoder 958 is coupled to a plurality of planes in the memory array 960 via bit lines 959. Addresses are supplied on bus 965 to column decoder 963, row decoder 961 and plane decoder 958. Sense amplifiers and data-in structures in block 966 are coupled to the column decoder 963 in this example via data bus 967. Data is supplied via the data-in line 971 from input/output ports on the integrated circuit 975 or from other data sources internal or external to the integrated circuit 975, to the data-in structures in block 966. In the illustrated embodiment, other circuitry 974 is included on the integrated circuit, such as a general purpose processor or special purpose application circuitry, or a combination of modules providing system-on-a-chip functionality supported by the NAND flash memory cell array. Data is supplied via the data-out line 972 from the sense amplifiers in block 966 to input/output ports on the integrated circuit 975, or to other data destinations internal or external to the integrated circuit 975.

A controller implemented in this example using bias arrangement state machine 969 controls the application of bias arrangement supply voltage generated or provided through the voltage supply or supplies in block 968, such as read, erase, program, erase verify and program verify voltages. The controller can be implemented using special-purpose logic circuitry as known in the art. In alternative embodiments, the controller comprises a general-purpose processor, which may be implemented on the same integrated circuit, which executes a computer program to control the operations of the device. In yet other embodiments, a combination of special-purpose logic circuitry and a general-purpose processor may be utilized for implementation of the controller.

In a read bias operation, the controller implemented in this example using bias arrangement state machine 968 can provide a read bias voltage to a memory cell to induce a read current for sensing by sense amplifiers in the block 966. As illustrated in FIG. 2, each memory cell is a double gate field effect transistor. The memory cell stores electrical charge in a layer of memory material, such as dielectric charge storage structures (e.g. 115), between a semiconductor material strip (e.g. 114) acting as a channel and a word line (e.g. 116) acting as gates of the double gate field effect transistor. The amount of charge stored affects the threshold voltage of the memory cell or the transistor, which can be sensed to indicate data. The memory cell can have a low threshold state and a high threshold state depending on the charge stored to indicate two logic levels. The difference between the two threshold states is a programming window or a sensing margin. In order to reliably distinguish between the two threshold states, it is important to maintain a relatively large sensing margin. In some embodiments, there may be more than two threshold states.

FIGS. 12-19 and 20A-20D illustrate results from simulation of distributions of threshold voltage VT and sub-threshold slope (SS) in a dual gate memory cell. The distributions may be caused by random grain boundaries and trap locations in the dual gate memory cell. As described herein, the dual gate memory cell has a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on the first and second side surfaces. The channel body has a channel depth between the first and second side surfaces. The gate structure has a width which establishes a channel length in the channel body regions along the first and second side surfaces. The simulation uses various channel lengths or word line critical dimensions (WL CD), and various channel body depths, set by bit line critical dimensions (BL CD). The simulation is performed using TCAD, a simulation tool provided by Synopsys, Inc., that supports simulation of random grain boundaries and trap locations in memory cells.

In FIGS. 13, 15, 17, and 19, the sub-threshold slope (SS) is a slope of gate voltage versus logarithmic drain current (sub-threshold leakage current). The unit of sub-threshold slope (SS) is milliVolts/dec, where a dec (decade) corresponds to a 10 times increase of the sub-threshold leakage current. Steeper sub-threshold slopes are “better” because they correspond to lower power consumption, faster transitions between off and on states, and higher reliability.

Wider distributions of memory cell characteristics including VT and SS can lead to more conservative design of memory devices in order to tolerate the worst case VT and the worst case SS. Accordingly, tighter distributions of VT and SS correspond to more consistent and predictable threshold voltage and sub-threshold current leakage, and lead to higher performance and more reliable memory devices.

Threshold voltage VT roll-off refers to the phenomenon that as channel lengths decrease, threshold voltage VT decreases. VT roll-off is further described in connection with FIGS. 12 and 14. VT roll-off can lead to programming window roll-off or narrower programming windows. Programming windows are margins between the VT distributions for the programmed state (high threshold state) and the VT distributions for the fresh state (low threshold state). Programming window roll-off is further described in connection with FIGS. 20A and 20B. Either the VT roll-off or the programming window roll-off may lead to malfunction of memory cells. FIGS. 13 and 15 illustrate that smaller channel lengths correlate with wider distributions of sub-threshold slope (SS). FIGS. 20A and 20B illustrate that smaller channel lengths correlate with narrower programming windows or margins. Accordingly, wider distributions of sub-threshold slope (SS) correlate with narrower programming windows or margins.

FIG. 12 illustrates results from simulation of threshold voltage (Vt) distributions in the dual gate memory cell with various channel lengths or word line critical dimensions (WL CD) at fixed word line (WL) half pitch of 38 nanometers (nm). Channel lengths or word line critical dimensions used in the simulation include channel lengths (Lg) of 20 nm, 25 nm, 30 nm, 38 nm, and 50 nm. The results illustrated in FIG. 12 are for the “fresh state,” or the initial VT distribution before programming of memory cells. The results show that WL CD or channel lengths (Lg) greater than a threshold length of 38 nanometers correspond to tighter Vt distributions, for example, between 0.4V and 1.4V for Lg of 50 nm. Conversely, shorter WL CD or channel length (Lg) causes VT roll-off and wider VT distributions. For instance, VT distribution for Lg of 20 nm is between −0.8V and 0.4V, as opposed to between 0.4V and 1.4V for Lg of 50 nm. Threshold voltage VT roll-off refers to the phenomenon that as channel lengths decrease, threshold voltage VT decreases. The results seen at threshold length of 38 nanometers used in the simulations suggest a critical threshold of about 38 nm, where the term about variations on the order of +/−2 nm, or between 36 nm and 40 nm that arise due to limitations in the simulation accuracy, the granularity of the measurement used in the simulations, and other variations in cell structures.

FIG. 13 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under the same conditions as described for FIG. 12. The results show that WL CD or channel lengths (Lg) greater than a threshold length of 40 nanometers correspond to lower (better) SS and a tighter distribution of SS, for example, between 170 mV/dec and 420 mV/dec for Lg of 50 nm. Conversely, shorter WL CD or channel lengths (Lg) correspond to higher (worse) SS and a wider distribution of SS. For instance, Lg of 20 nm corresponds to SS between 200 mV/dec and 580 mV/dec, as opposed to 170 mV/dec and 420 mV/dec for Lg of 50 nm. As described herein, tighter distributions of sub-threshold slopes correspond to more consistent and predictable sub-threshold current leakage, and lead to higher performance and more reliable memory devices.

FIG. 14 illustrates results from simulation of threshold voltage VT distributions in the dual gate memory cell with various channel lengths or word line critical dimensions (WL CD) at fixed word line (WL) half pitch of 38 nm. Conditions for results shown in FIG. 14 are generally the same as for FIG. 12. The difference in FIG. 14 is that the device is in a “programmed state” or a high threshold state after programming of memory cells, instead of the “fresh state” or a low threshold state as in

FIG. 12. The results show that WL CD or channel lengths (Lg) greater than a threshold length of 38 nanometers correspond to tighter VT distributions, for example, between 5.6V and 6.8V for Lg of 50 nm. Conversely, shorter WL CD or channel length (Lg) causes VT roll-off and wider VT distributions. For instance, VT distribution for Lg of 20 nm is between 2.6V and 4.2V, as opposed to between 5.6V and 6.8V for Lg of 50 nm.

FIG. 15 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under generally the same conditions as described for FIG. 13. The difference in FIG. 15 is that the device is in a “programmed state” or a high threshold state after programming of memory cells, instead of the “fresh state” or a low threshold state as in FIG. 13. The results show that WL CD or channel lengths (Lg) greater than a threshold length of 40 nanometers correspond to lower (better) SS and a tighter distribution of SS, for example, between 170 mV/dec and 430 mV/dec for Lg of 50 nm. Conversely, shorter WL CD or channel lengths (Lg) correspond to higher (worse) SS and a wider distribution of SS. For instance, Lg of 20 nm corresponds to SS between 170 mV/dec and 670 mV/dec, as opposed to 170 mV/dec and 430 mV/dec for Lg of 50 nm. As described herein, tighter distributions of sub-threshold slopes correspond to more consistent and predictable sub-threshold current leakage, and lead to higher performance and more reliable memory devices.

FIGS. 12-15 demonstrate benefits provided by WL CD or channel lengths (Lg) greater than a threshold length where for both fresh and programmed (low threshold and high threshold) states, severity of VT roll-off is reduced and tighter distributions of VT and SS are achieved. Since VT roll-off can lead to programming window roll-off, when severity of VT roll-off is reduced, potential for programming window roll-off is also reduced. Programming window roll-off is further described in connection with FIGS. 20A and 20B. As described herein, wider distributions of memory cell characteristics including VT and SS can lead to more conservative design of memory devices in order to tolerate the worst case VT and the worst case SS. Accordingly, tighter distributions of VT and SS correspond to more consistent and predictable threshold voltage and sub-threshold current leakage, and can lead to higher performance and more reliable memory devices.

FIG. 16 illustrates results from simulation of threshold voltage VT distributions in the dual gate memory cell with various channel body depths, set by bit line critical dimensions (BL). Channel body depths used in the simulation include 10 nm, 20 nm, 30 nm, and 40 nm. The results illustrated in FIG. 12 are for the “fresh state,” or the initial VT distribution before programming of memory cells. The results show that channel body depths less than a threshold thickness of 20 nanometers correspond to tighter VT distributions, for example, between 0.4V and 0.8V for BL of 10 nm. Conversely, larger channel body depths correspond to wider Vt distributions. For instance, VT distribution for BL of 40 nm is between 0V and 1.5V, as opposed to between 0.4V and 0.8V for BL of 10 nm. The results seen at threshold body depth of 20 nanometers used in the simulations suggest a critical threshold of about 20 nm, where the term about variations on the order of +/−2 nm, or between 18 nm and 22 nm that arise due to limitations in the simulation accuracy, the granularity of the measurement used in the simulations, and other variations in cell structures.

FIG. 17 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under the same conditions as described for FIG. 16. The results show that channel body depths, set by bit line critical dimensions (BL) less than a threshold thickness of 20 nanometers correspond to lower (better) SS and a tighter distribution of SS, for example, between 100 mV/dec and 180 mV/dec for BL of 10 nm. Conversely, larger bit line critical dimensions (BL) correspond to higher (worse) SS and a wider distribution of SS. For instance, BL of 40 nm corresponds to SS between 220 mV/dec and 580 mV/dec, as opposed to 100 mV/dec and 180 mV/dec for BL of 10 nm.

FIG. 18 illustrates results from simulation of threshold voltage VT distributions in the dual gate memory cell with various channel body depths, set by bit line critical dimensions (BL). Conditions for results shown in FIG. 18 are generally the same as for FIG. 16. The difference in FIG. 16 is that the device is in a “programmed state” or a high threshold state, instead of a “fresh state” or a low threshold state. The results show that bit line critical dimensions (BL) less than a threshold thickness of 20 nanometers correspond to tighter VT distributions, for example, between 5.3V and 6V for BL of 10 nm. Conversely, larger bit line critical dimensions (BL) correspond to wider VT distributions. For instance, VT distribution for BL of 40 nm is between 4.3V and 6.4V, as opposed to between 5.3V and 6V for BL of 10 nm.

FIG. 19 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under the same conditions as described for FIG. 18. The results show that channel body depths, set by bit line critical dimensions (BL) less than a threshold thickness correspond to lower (better) SS and a tighter distribution of SS, for example, between 75 mV/dec and 225 mV/dec for BL of 10 nm. Conversely, larger bit line critical dimensions (BL) correspond to higher (worse) SS and a wider distribution of SS. For instance, BL of 40 nm corresponds to SS between 225 mV/dec and 975 mV/dec, as opposed to 75 mV/dec and 225 mV/dec for BL of 10 nm.

FIGS. 16-19 demonstrate benefits provided by channel body depths, set by bit line critical dimensions (BL), less than a threshold thickness of about 30 nanometers, where for both fresh and programmed (low threshold and high threshold) states, tighter VT distributions, tighter SS distributions, and lower SS are achieved. As described herein, wider distributions of memory cell characteristics including VT and SS can lead to more conservative design of memory devices in order to tolerate the worst case VT and the worst case SS. Accordingly, tighter distributions of VT and SS correspond to more consistent and predictable threshold voltage and sub-threshold current leakage, and can lead to higher performance and more reliable memory devices. Lower SS corresponds to lower power consumption in memory cells.

FIGS. 20A-20D illustrate results from simulation of programming windows in the dual gate memory cell. The results are based on threshold voltage VT distributions in fresh and programmed states, or low and high threshold states respectively, at various channel lengths or word line effective critical dimensions (WL ECD) and various channel body depths set by bit line effective critical dimensions (BL ECD) as illustrated in FIGS. 12-19.

FIG. 20A illustrates VT distributions for word line effective critical dimensions (WL ECD) at channel lengths of 20 nm, 25 nm, 30 nm, 38 nm, and 50 nm for the fresh state and programmed state. For instance, in the fresh state, VT distribution 2001a for channel length of 20 nm is between −0.8V and 0.4V, while VT distribution 2002a for channel length of 50 nm is between 0.4V and 1.4V. In the programmed state, VT distribution 2003a for channel length of 20 nm is between 2.6V and 4.2V, while VT distribution 2004a for channel length of 50 nm is between 5.6V and 6.8V. Simulation results for the fresh state used in FIG. 20A are based on the simulation results used in FIG. 12. Simulation results for the programmed state used in FIG. 20A are based on the simulation results used in FIG. 14.

Threshold voltage VT roll-off refers to the phenomenon that as channel lengths decrease, threshold voltage VT decreases. As illustrated in FIG. 20A, in the programmed state or the high threshold state, VT decreases from the VT distribution 2004a for channel length of 50 nm between 5.6V and 6.8V to the VT distribution 2003a for channel length of 20 nm between 2.6V and 4.2V. In the fresh state or the low threshold state, VT decreases from the VT distribution 2002a for channel length of 50 nm between 0.4V and 1.4V to the VT distribution 2001a for channel length of 20 nm between −0.8V and 0.4V. Thus, VT roll-off is more severe in the programmed state than in the fresh state.

Consequently, channel lengths or word line critical dimensions (WL CD) have effects on programming windows or margins between the VT distributions for the programmed state and the VT distributions for the fresh state. As channel lengths or word line critical dimensions (WL CD) increase, programming windows or margins are improved. For instance, at channel length of about 20 nm, an average value for the VT distribution for the programmed state is about 3.5V, while an average value for the VT distribution for the fresh state is about −0.1V. Therefore an average programming window or margin at channel length of about 20 nm is about 3.5V minus −0.1V or 3.6V. At channel length of 50 nm, an average value for the VT distribution for the programmed state is about 6.2V, while an average value for the VT distribution for the fresh state is about 1.0V. Therefore an average programming window or margin at channel length of 50 nm is improved to about 6.2V minus 1.0V or 5.2V (2015a), from about 3.6V (2011a) for channel length of 20 nm. Improved programming windows or margins can more reliably distinguish between high and low threshold states of memory cells.

A scaling limitation because of the short channel effect in Double Gate MOSFET can be understood by the function defining the gate length of the call, as follows:

Lg >= 1.5 λ , λ = 2 ti + ɛ Si ɛ i t Si ,

where ti is EOT of the charge storage structure, and tsi is semiconductor bit line width. Therefore, ONO of the charge storage structure should be lower than the width of the vertical gate structures that defines gate length Lg for the cells.

FIG. 20B illustrates programming (PGM) windows between the Vt distributions for the programmed state and the VT distributions for the fresh state as illustrated in FIG. 20A. FIG. 20B illustrates that channel lengths greater than a threshold length of about 38 nanometers correspond to larger programming windows or margins. For instance, the programming window at channel length of 50 nm is improved to about 5.2V (2015b) from about 3.6V (2011b) for channel length of 20 nm. Conversely, shorter channel lengths correspond to smaller programming windows, or cause “programming window roll-off”.

FIG. 20C illustrates VT distributions for bit line effective critical dimensions (BL ECD) used in the simulation at bit line (BL) widths of 10 nm, 20 nm, 30 nm, and 40 nm for the fresh state and programmed (PGM) state. For instance, in the fresh state, VT distribution 2001c for BL width of 10 nm is between 0.4V and 0.8V, while VT distribution 2002c for BL width of 40 nm is between 0V and 1.5V. In the programmed state, VT distribution 2003c for BL width of 10 nm is between 5.3V and 6V, while VT distribution 2004c for BL width of 40 nm is between 4.3V and 6.4V. Simulation results for the fresh state used in FIG. 20C are based on the simulation results used in FIG. 16. Simulation results for the programmed state used in FIG. 20C are based on the simulation results used in FIG. 18.

FIG. 20D illustrates programming (PGM) windows between the VT distributions for the programmed state and the VT distributions for the fresh state as illustrated in FIG. 20C. FIG. 20D illustrates that BL widths less than a threshold thickness of 20 nanometers correspond to tighter VT distributions, and to wider programming windows. Conversely, larger BL widths correspond to wider VT distributions, and to smaller programming windows. For instance, at BL width of 40 nm, the programming window is less than 3.9V, while at BL width of 20 nm, the programming window is less than 4.3V. For instance, at BL width of 40 nm, the VT distributions is between 3.9V and 4.9V, while at BL width of 20 nm, the VT distributions is between 4.3V and 4.8V. As described herein, tighter VT distributions correspond to more consistent and predictable threshold voltage, and improved programming windows or sensing margins can more reliably distinguish between high and low threshold states of memory cells.

In the example shown in FIGS. 20A-20D, a relatively large programming window or sensing margin of about 4V centered around a read voltage less than 4 Volts, corresponds to a channel body having a combination of a channel body length greater than about 38 nanometers and a channel body depth (BL width) less than about 20 nanometers. The read voltage less than 4 Volts is applied to the word line or gate during a read operation, where the 4 Volts is measured relative to a reference voltage such as ground applied for example to a common source line coupled to the memory cell during a read bias for the cell.

While the present invention is disclosed by reference to the preferred embodiments and examples detailed above, it is to be understood that these examples are intended in an illustrative rather than in a limiting sense. It is contemplated that modifications and combinations will readily occur to those skilled in the art, which modifications and combinations will be within the spirit of the invention and the scope of the following claims.

Claims

1. A device, comprising:

a dual gate memory cell having a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on the first and second side surfaces, the channel body having a depth between the first and second side surfaces so that a channel body depth of the memory cell is less than a threshold channel body depth for fully depleted operation when the memory cell has a high threshold state under a read bias, and the gate structure having a width along the first and second side surfaces so that an effective channel length of the cell is greater than a threshold length to suppress sub-threshold leakage current when the memory cell has a high threshold state under the read bias.

2. The device of claim 1, wherein the charge storage structures comprise memory elements that include dielectric charge trapping structures comprising a tunneling layer, a dielectric charge trapping layer and a blocking layer having in combination an equivalent oxide thickness, and where the gate structure width is more than the equivalent oxide thickness of the dielectric charge trapping structures.

3. The device of claim 1 wherein the memory cell comprises a dielectric charge trapping memory cell.

4. The device of claim 1, wherein said threshold channel body depth is between 10 nm and 30 nm, and said threshold length is at least ⅔ the channel body depth.

5. A device, comprising:

a dual gate memory cell having a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on the first and second side surfaces, wherein the charge storage structure includes a dielectric charge trapping structure comprising a tunneling layer, a dielectric charge trapping layer and a blocking layer having in combination an equivalent oxide thickness, wherein the channel body has a depth between the first and second side surfaces so that a channel body depth of the memory cell is less than 40 nanometers, and the gate structure has a width along the first and second side surfaces so that an effective channel length of the cell is greater than ⅔ the effective oxide thickness and more than the channel body depth.

6. The device of claim 5 wherein the channel body depth of the memory cell is less than a threshold channel body depth for fully depleted operation when the memory cell has a high threshold state under a read bias, and the gate structure having a width along the first and second side surfaces so that an effective channel length of the cell is greater than a threshold length to suppress sub-threshold leakage current when the memory cell has a high threshold state under the read bias.

7. A device, comprising:

a plurality of stacks of semiconductor bit line strips including at least two semiconductor bit line strips separated by insulating material into different plane positions of a plurality of plane positions, the strips having opposing first and second side surfaces;
a plurality of word lines arranged orthogonally over, and having surfaces conformal with, the plurality of stacks, such that a 3D array of interface regions is established at cross-points between the first and second side surfaces of the strips in the plurality of stacks and the plurality of word lines; and
charge storage structures in the interface regions, which establish a 3D array of memory cells accessible via the plurality of semiconductor bit line strips and the plurality of word lines, the memory cells arranged in strings between bit line structures and source line structures, the charge storage structures including dielectric charge trapping structures comprising a tunneling layer, a dielectric charge trapping layer and a blocking layer having in combination an equivalent oxide thickness;
wherein the semiconductor bit line strips have depths between the first and second side surfaces so that channel body depths of the memory cells have depths between the first and second side surfaces so that a channel body depths of the memory cells are less than 40 nanometers, and the word lines have widths along the first and second side surfaces so that an effective channel length of the cell is greater than ⅔ the effective oxide thickness and more than the channel body depth.

8. The device of claim 7 wherein the channel body depths of the memory cells are less than a threshold channel body depth for fully depleted operation when the memory cells have a high threshold state under a read bias, and the gate structures having a width along the first and second side surfaces so that an effective channel length of the cells is greater than a threshold length to suppress sub-threshold leakage current when the memory cells have a high threshold state under the read bias.

9. A memory device, comprising:

an integrated circuit substrate; and
a 3D array of dual gate memory cells on the integrated circuit substrate, the 3D array including stacks of NAND strings of memory cells, the dual gate memory cells having channel body depths less than a threshold channel body depth for fully depleted operation when the corresponding memory cell has a high threshold state under a read bias, and effective channel lengths greater than a threshold length to suppress sub-threshold leakage current when the corresponding memory cell has a high threshold state under the read bias.

10. The device of claim 9, wherein the memory cells comprise memory elements that include charge trapping structures comprising a tunneling layer, a dielectric charge trapping layer and a blocking layer.

11. The device of claim 9 wherein a channel body depth of the memory cells is less than 40 nanometers, and a gate structure has a width along first and second side surfaces of the memory cells so that the effective channel lengths of the cells are greater than ⅔ an effective oxide thickness of charge storage structures of the cells and more than the channel body depth.

Patent History
Publication number: 20160086665
Type: Application
Filed: Dec 1, 2015
Publication Date: Mar 24, 2016
Applicant: MACRONIX INTERNATIONAL CO., LTD. (Hsinchu)
Inventors: Yi-Hsuan HSIAO (Hsinchu), Hand-Ting LUE (Zhubei City), Wei-Chen CHEN (Luzhu Township)
Application Number: 14/956,022
Classifications
International Classification: G11C 16/04 (20060101); G11C 16/26 (20060101); H01L 27/115 (20060101); G11C 16/10 (20060101);