GATE-ALL-AROUND DEVICES HAVING GATE DIELECTRIC LAYERS OF VARYING THICKNESSES AND METHOD OF FORMING THE SAME
A semiconductor device includes a substrate; an I/O device over the substrate; and a core device over the substrate. The I/O device includes a first gate structure having an interfacial layer; a first high-k dielectric stack over the interfacial layer; and a conductive layer over and in physical contact with the first high-k dielectric stack. The core device includes a second gate structure having the interfacial layer; a second high-k dielectric stack over the interfacial layer; and the conductive layer over and in physical contact with the second high-k dielectric stack. The first high-k dielectric stack includes the second high-k dielectric stack and a third dielectric layer.
The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs.
For example, as IC technologies progress towards smaller technology nodes, multi-gate devices have been introduced to improve gate control by increasing gate-channel coupling, reducing off-state current, and reducing short-channel effects (SCEs). A multi-gate device generally refers to a device having a gate structure, or portion thereof, disposed over more than one side of a channel region. Gate-all-around (GAA) transistors are examples of multi-gate devices that have become popular and promising candidates for high performance and low leakage applications. Compared to planar transistors, such configuration provides better control of the channel and drastically reduces SCEs (in particular, by reducing sub-threshold leakage). A GAA transistor has a gate structure that can extend, partially or fully, around a channel region to provide access to the channel region on all sides. The channel region of the GAA transistor may be formed from nanowires, nanosheets, other nanostructures, and/or other suitable structures. In some implementations, such channel region includes multiple nanowires (which extend horizontally, thereby providing horizontally-oriented channels) vertically stacked.
IC devices include transistors that serve different functions, such as input/output (I/O) functions and core functions. These different functions require the transistors to have different constructions. At the same time, it is advantageous to have similar processes and similar process windows to fabricate these different transistors to reduce cost and improve yield. Although existing GAA transistors and processes are generally adequate for their intended purposes, they have not been entirely satisfactory in every aspect. For example, different core functions, such as high-speed application and low-power (and/or low-leakage) application, may prefer different gate dielectric layer thicknesses for GAA transistors. Therefore, how to continuously scale down gate stacks for I/O devices and core devices with varying gate dielectric layer thicknesses suiting different applications is a challenge faced by the semiconductor industry. The present disclosure aims to solve the above issues and other related issues.
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. Still further, when a number or a range of numbers is described with “about,” “approximate,” and the like, the term is intended to encompass numbers that are within +/−10% of the number described, unless otherwise specified. For example, the term “about 5 nm” encompasses the dimension range from 4.5 nm to 5.5 nm.
The present disclosure is generally related to semiconductor devices, and more particularly to integrate circuits (IC) having input/output (I/O) devices (or transistors) and core devices (or transistors) with nanowire channels on the same substrate. In an embodiment, at least two gate-all-around (GAA) devices with stacked nanowire channels are placed in a core area of the IC, for example, for implementing high-speed application and low-power (and/or low-leakage) application respectively, while a third GAA device is placed in an I/O area of the IC for implementing I/O application (including electrostatic discharge (ESD) application).
Operating voltage for the I/O area may be similar to external voltage (voltage level of the external/peripheral circuitry) and is higher than the operating voltage of the core area. To accommodate the higher operating voltage, transistors in the I/O area may have a thicker gate dielectric layer as compared to their counterparts in the core area. In the core area, thicknesses of gate dielectric layers of transistors correlate with circuit speed and leakage performance. With a thinner gate dielectric layer, a GAA device is more suitable for high-speed application. With a thicker gate dielectric layer, a GAA device is more suitable for low-power (and/or low-leakage) application. To further the embodiment, the GAA device for high-speed application has a thinner gate dielectric layer than the GAA device for low-power (and/or low-leakage) application. Embodiments of the present disclosure provide flexible design integration schemes to accommodate different circuits in the same IC. Fabrication methods according to the present disclosure can be readily integrated into existing semiconductor manufacturing flows. Details of the various embodiments of the present disclosure are described by reference to the
Referring to
Each of the three GAA devices 18, 20, and 24 includes vertically stacked multiple channel members 26 above the substrate 27. The number of channel members 26 in each GAA device may be in a range of 2 to 10. Each of the channel members 26 includes silicon or another suitable semiconductor material. The channel members 26 of the GAA device 18 is wrapped around by a gate dielectric layer 28a, which may include an interfacial layer 30a and a high-k dielectric layer 32a. The channel members 26 of the GAA device 20 is wrapped around by a gate dielectric layer 28b, which may include an interfacial layer 30b and a high-k dielectric layer 32b. The channel members 26 of the GAA device 24 is wrapped around by a gate dielectric layer 28c, which may include an interfacial layer 30c and a high-k dielectric layer 32c. Gate electrodes (not shown) wrap around or over each of the gate dielectric layers 28a, 28b, and 28c. The gate electrode may include one or more work function metal layers and a bulk metal layer. In this embodiment, the GAA devices 18 and 20 share the same gate electrode, and the GAA device 24 has a separate gate electrode.
The GAA devices 18, 20, and 24 have varying gate dielectric layer thicknesses. For example, the GAA device 24 in the I/O area 14 includes a gate dielectric layer 28c of a first thickness (a capacitance equivalent thickness (CET)), which is the thickest gate dielectric layer suiting high voltage application; the GAA device 20 in the core area 12 includes a gate dielectric layer 28b of a second thickness, which is a medium thickness (a medium CET) suiting low-power and low-leakage application; the GAA device 18 in the core area 12 includes a gate dielectric layer 28a of a third thickness, which is the thinnest gate dielectric layer (a thinnest CET) suiting high-speed application. Accordingly, the IC 10 may be referred to as a tri-gate transistor device. To further the embodiment, within gate dielectric layers 28a, 28b, and 28c, the high-k dielectric layers 32a, 32b, and 32c may have substantially the same physical thickness (e.g., from about 20 Å to about 100 Å), while the interfacial layers 30a, 30b, and 30c have varying physical thicknesses. As an example, the interfacial layer 30b may be about 10% to about 20% thicker than the interfacial layer 30a. If the interfacial layer 30b is less than 10% thicker than the interfacial layer 30a, the leakage issue may start to degrade circuit performance; if the interfacial layer 30b is larger than 20% thicker than the interfacial layer 30a, the speed of the core device may be slowed down too much. The interfacial layer 30c may have a thickness that is from about 2 times to about 4 times of that of the interfacial layer 30a. If the thickness of the interfacial layer 30c is less than about 2 times of that of the interfacial layer 30a, the high voltage performance will be degraded; if the thickness of the interfacial layer 30c is larger than 4 times of that of the interfacial layer 30a, the gate drive capability of the I/O device becomes weak due to large oxide thickness. In a particular example, the interfacial layer 30a has a thickness ranging from about 5 Å (Angstrom) to about 20 Å, the interfacial layer 30b has a thickness that has a ratio about 1.1:1 to about 1.2:1 of the thickness of the interfacial layer 30a, and the interfacial layer 30c has a thickness ranging from about 20 Å and about 40 Å.
At operation 102, the method 100 (
Each of the device structures 206a, 206b, and 206c includes the substrate 208, the isolation structure 210, the fin 212a, 212b, or 212c that comprises alternating semiconductor layers 220 and 222 vertically stacked (also refer to as stacked fin 212a, 212b, or 212c), and a dummy gate structure 216 engaging either the stacked fin 212a, 212b, or 212c.
In some embodiments, the substrate 208 includes silicon. Alternatively or additionally, substrate 208 includes another elementary semiconductor, such as germanium; a compound semiconductor, such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor, such as silicon germanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In some implementations, the substrate 208 includes one or more group III-V materials, one or more group II-IV materials, or combinations thereof. In some implementations, the substrate 208 is a semiconductor-on-insulator substrate, such as a silicon-on-insulator (SOI) substrate, a silicon germanium-on-insulator (SGOI) substrate, or a germanium-on-insulator (GOI) substrate. Semiconductor-on-insulator substrates can be fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods. The substrate 208 can include various doped regions configured according to design requirements of semiconductor device 200. P-type doped regions may include p-type dopants, such as boron, indium, other p-type dopant, or combinations thereof. N-type doped regions may include n-type dopants, such as phosphorus, arsenic, other n-type dopant, or combinations thereof. In some implementations, the substrate 208 includes doped regions formed with a combination of p-type dopants and n-type dopants. The various doped regions can be formed directly on and/or in substrate 208, for example, providing a p-well structure, an n-well structure, a dual-well structure, a raised structure, or combinations thereof. An ion implantation process, a diffusion process, and/or other suitable doping process can be performed to form the various doped regions. In some embodiments, p-type GAA devices and p-type FinFET devices are formed over n-type wells, while n-type GAA devices and n-type FinFET devices are formed over p-type wells. Each of the device structures 206a, 206b, and 206c may individually be an n-type or a p-type device.
The isolation structure 210 may comprise silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material. The isolation structure 210 may be shallow trench isolation (STI) features. Other isolation structure such as field oxide, LOCal Oxidation of Silicon (LOCOS), and/or other suitable structures are possible. The isolation structure 210 may include a multi-layer structure, for example, having one or more thermal oxide liner layers.
Each of the stacked fins 212a, 212b, and 212c has the semiconductor layers 220 and 222 alternately stacked. The first semiconductor material in the semiconductor layers 220 is different from the second semiconductor material in the semiconductor layers 222, in material and/or composition. Each of the first semiconductor material and the second semiconductor material may include silicon, germanium, a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and indium antimonide, or an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and GaInAsP. In the present embodiment, the semiconductor layers 220 comprise silicon, and the semiconductor layers 222 comprise germanium or silicon germanium alloy. The semiconductor layers 220 and 222 in the stacked fins 212a and 212b may additionally include dopants (e.g., phosphorus, arsenic, boron, and/or indium) for improving the performance of the GAA transistor to be formed.
The stacked fins 212a, 212b, and 212c can be formed by epitaxially growing the semiconductor layers 220 and 222 over the substrate 208 and then patterned by any suitable method to form the individual stack fins 212a, 212b, and 212c. For example, the stacked fins 212a, 212b, and 212c may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers, or mandrels, may then be used to pattern the stacked fins 212a, 212b, and 212c by etching the initial semiconductor layers 220, 222 and the substrate 208. The etching process can include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes. In the illustrated embodiment, stacked fins 212a, 212b, and 212c extend lengthwise in the same direction (longitudinal axes are parallel). In some embodiments, the stacked fins 212a and 212b in the core area 202 extend lengthwise in the same direction (e.g., along y-direction), while the stack fin 212c in the I/O area 204 may extend lengthwise in a different direction, such as in a perpendicular direction (e.g., along x-direction) or other directions.
The dummy gate structure 216 reserves an area for a metal gate stack and includes a dummy interfacial layer 230, a dummy gate electrode 232, a first gate hard mask layer 234, and a second gate hard mask layer 236. The dummy interfacial layer 230 is formed over top and sidewall surfaces of each of the stacked fins 212a, 212b, and 212c and over the top surface of the isolation structure 210. The dummy interfacial layer 230 may include a dielectric material such as an oxide layer (e.g., SiO2) or oxynitride layer (e.g., SiON), and may be deposited by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable methods.
The dummy gate electrode 232 may include poly-crystalline silicon (poly-Si) and may be formed by suitable deposition processes such as low-pressure chemical vapor deposition (LPCVD) and plasma-enhanced CVD (PECVD). Each of the gate hard mask layers 234 and 236 may include one or more layers of dielectric material such as silicon oxide and/or silicon nitride, and may be formed by CVD or other suitable methods. For example, the first gate hard mask layer 234 may include a silicon oxide layer adjacent the dummy gate electrode 232 and the second gate hard mask layer 236 may include a silicon nitride layer. The various layers 230, 232, 234, and 236 may be patterned by photolithography and etching processes.
For clarity of description and illustration,
At operation 104, the method 100 (
At operation 106, the method 100 (
At operation 108, the method 100 (
At operation 110, the method 100 (
At operation 112, the method 100 (
At operation 114, the method 100 forms another interfacial layer wrapping the nanowires 220 in the GAA core device structures 206a and 206b, as shown in
At operation 116, the method 100 (
At operation 118, the method 100 (
At operation 120, the method 100 (
In some alternative embodiments of the method 100, the capping layer 260 is a thickness modulation layer, such as an oxygen-scavenging layer 260. The oxygen-scavenging layer 260 has a higher affinity for oxygen than the metal in the metal-oxide (in the high-k gate dielectric layer) and silicon (in the interfacial layer). The oxygen-scavenging layer 260 may include a metal or a metal compound such as Ti, Hf, Zr, Ta, Al, or combinations thereof such as TiAl. The oxygen-scavenging layer 260 may also be formed of a metal nitride (e.g. TaN, TaSiN, TiSiN), or a nitride of a metal alloy such as TiAlN. In some embodiments, the oxygen-scavenging layer 260 may be a silicon layer. In a specific example, the oxygen-scavenging layer 260 includes TiSiN that is metal rich (such as a Ti:N ratio of about 1.05:1 to about 2:1). The oxygen-scavenging layer 260 has the function of scavenging oxygen from interfacial layer 252a at elevated temperatures. At operation 120 in some alterative embodiments, the method 100 performs an annealing process (represented by arrows 271 in
The oxygen scavenging process deprives oxygen from at least the bottom portion of the interfacial layers 252a and 252c, and hence the silicon in the interfacial layer 252a and 252c remains to form an additional silicon layer on top of the crystalline silicon layer of the nanowires 220.
The oxygen scavenging process chemically reduces the interfacial layers 252a and 252c. The interfacial layer 252a thus has a reduced thickness (e.g., about 10% to about 50% thinner), which is smaller than that of the interfacial layer 252b, or may even be eliminated (fully converted). The interfacial layer 252b may still grow due to the oxide regrowth. The interfacial layer 252c also suffers from a thickness loss, but is still larger than the thickness of the interfacial layer 252b.
At operation 122, the method 100 (
At operation 124, the method 100 (
At operation 126 of the method 100 (
Although not intended to be limiting, one or more embodiments of the present disclosure provide many benefits to a semiconductor device and the formation thereof. For example, embodiments of the present disclosure provide GAA high-speed devices, GAA low-power/low-leakage devices, and GAA high voltage devices on the same substrate and in the same integrated circuit. The GAA high-speed devices and the GAA low-power/low-leakage devices are placed in a core area of the IC, for example, for high-speed or low-power circuits, while the GAA high voltage devices are placed in an I/O area of the IC for implementing I/O circuits or ESD circuits. The GAA high-speed devices, GAA low-power/low-leakage devices, and GAA high-voltage devices have varying gate dielectric thickness to create performance differences in the three types of the devices. The present embodiments enable circuit designers to optimize the circuits in different areas of the IC by choosing different types of devices.
In one exemplary aspect, the present disclosure is directed to an integrated circuit. The integrated circuit includes a substrate having a first region and a second region; a first gate-all-around (GAA) device located in the first region, the first GAA device including: a first channel member extending longitudinally in a first direction; a first gate structure wrapping a channel region of the first channel member, the first gate structure including a first interfacial layer, the first interfacial layer having a first thickness measured in a second direction generally perpendicular to the first direction; a second GAA device located in the first region, the second GAA device including: a second channel member extending longitudinally in the first direction; and a second gate structure wrapping a channel region of the second channel member, the second gate structure including a second interfacial layer, the second interfacial layer having a second thickness measured in the second direction, the second thickness being greater than the first thickness; and a third GAA device located in the second region, the third GAA device including: a third channel member extending longitudinally in the first direction; and a third gate structure wrapping a channel region of the third channel member, the third gate structure including a third interfacial layer, the third interfacial layer having a third thickness measured in the second direction, the third thickness being greater than the second thickness. In some embodiments, a ratio of the second thickness to the first thickness is between about 1.1 and about 1.2. In some embodiments, the first thickness is between about 5 Angstroms and 20 Angstroms. In some embodiments, the third thickness is between about 20 Angstroms and 40 Angstroms. In some embodiments, each of the first, second, and third interfacial layers includes silicon dioxide. In some embodiments, the first gate structure further includes a first dielectric layer wrapping the first interfacial layer, wherein the second gate structure further includes a second dielectric layer wrapping the second interfacial layer, wherein the third gate structure further includes a third dielectric layer wrapping the third interfacial layer and wherein thicknesses of the first, second, and third dielectric layers measured in the second direction are substantially equal. In some embodiments, each of the first, second, and third dielectric layers includes a material selected from the group of materials consisting of SiN, SiON, SiCON, SiOC, HfO2, and Al2O3. In some embodiments, the second dielectric layer has a higher concentration of nitrogen than the first and third dielectric layers. In some embodiments, the first and second GAA devices are core devices of the integrated circuit, and wherein the third GAA device is an input/output (I/O) device of the integrated circuit. In some embodiments, the first GAA device includes a first amorphous silicon layer between the first interfacial layer and the first channel member, the second interfacial layer is in direct contact with the second channel member, and the third GAA device includes a second amorphous silicon layer between the third interfacial layer and the third channel member.
In another exemplary aspect, the present disclosure is directed to an integrated circuit device. The integrated circuit device includes a core device including: a first channel member; a first gate structure engaging the first channel member, the first gate structure including a first interfacial layer wrapping a channel region of the first channel member; a second channel member; and a second gate structure engaging the second channel member, the second gate structure including a second interfacial layer wrapping a channel region of the second channel member, wherein a thickness of the second interfacial layer in a direction generally perpendicular to a longitudinal axis of the second channel member is greater than a thickness of the first interfacial layer in a direction generally perpendicular to a longitudinal axis of the first channel member; and an input/output (I/O) device including: a third channel member; and a third gate structure engaging the third channel member, the third gate structure including a third interfacial layer wrapping a channel region of the third channel member, wherein a thickness of the third interfacial layer in a direction generally perpendicular to a longitudinal axis of the third channel member is greater than the thickness of the second interfacial layer. In some embodiments, a ratio of the thickness of the second interfacial layer to the thickness of the first interfacial layer is between about 1.1 and about 1.2. In some embodiments, the thickness of the first interfacial layer is between about 5 Angstroms and 20 Angstroms. In some embodiments, the longitudinal axes of the first channel member, the second channel member, and the third channel member are parallel. In some embodiments, the first interfacial layer, the second interfacial layer, and the third interfacial layer include silicon dioxide.
In another exemplary aspect, the present disclosure is directed to a method. The method includes providing a structure having a first channel member, a second channel member, and a third channel member, wherein the first channel member and the second channel member are located in a core region of an integrated circuit device, and the third channel member is located in an input/output (I/O) region of the integrated circuit device; forming, by a first process, a first oxide layer and a second oxide layer, the first oxide layer wrapping a channel region of the first channel member, the second oxide layer wrapping a channel region of the second channel member; forming, by a second process different from the first process, a third oxide layer wrapping a channel region of the third channel member; forming a first dielectric layer, a second dielectric layer, and a third dielectric layer over the first oxide layer, the second oxide layer, and the third oxide layer, respectively; forming a first capping layer, a second capping layer, and a third capping layer over the first dielectric layer, the second dielectric layer, and the third dielectric layer, respectively; removing the second capping layer to expose the second dielectric layer, wherein the first capping layer and the third capping layer respectively remain over the first dielectric layer and the third dielectric layer after the removing of the second capping layer; and after removing the second capping layer, performing an annealing process to increase a thickness of the second oxide layer. In some embodiments, after the annealing process, a ratio of the thickness of the second oxide layer to a thickness of the first oxide layer is between about 1.1 and about 1.2, and wherein a thickness of the third oxide layer is greater than the thickness of the second oxide layer. In some embodiments, the second process is performed prior to the first process, the method further includes, before performing the first process, forming, by the second process, the third oxide layer wrapping the channel region of the first channel member and the channel region of the second channel member; forming an etch mask covering the I/O region of the integrated circuit device; removing the third oxide layer from the channel region of the first channel member and from the channel region of the second channel member while the etch mask covers the I/O region of the integrated circuit device; and removing the etch mask to expose the third oxide layer wrapping the channel region of the third channel member. In some embodiments, the first process includes a treatment of the first channel member and the second channel member with H2SO4, H2O2, or a combination thereof. In some embodiments, performing the annealing process includes a spike annealing process having a nitrogen-containing ambient environment, an initial temperature between about 500 degrees Celsius and about 700 degrees Celsius, and a peak temperature between about 700 degrees Celsius and about 900 degrees Celsius.
The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Claims
1. An integrated circuit, comprising:
- a substrate having a first region and a second region;
- a first gate-all-around (GAA) device located in the first region, the first GAA device including: a first channel member extending longitudinally in a first direction; a first gate structure wrapping a channel region of the first channel member, the first gate structure including a first interfacial layer, the first interfacial layer having a first thickness measured in a second direction generally perpendicular to the first direction; a second GAA device located in the first region, the second GAA device including: a second channel member extending longitudinally in the first direction; and a second gate structure wrapping a channel region of the second channel member, the second gate structure including a second interfacial layer, the second interfacial layer having a second thickness measured in the second direction, the second thickness being greater than the first thickness; and
- a third GAA device located in the second region, the third GAA device including:
- a third channel member extending longitudinally in the first direction; and
- a third gate structure wrapping a channel region of the third channel member, the third gate structure including a third interfacial layer, the third interfacial layer having a third thickness measured in the second direction, the third thickness being greater than the second thickness.
2. The integrated circuit of claim 1, wherein a ratio of the second thickness to the first thickness is between about 1.1 and about 1.2.
3. The integrated circuit of claim 1, wherein the first thickness is between about 5 Angstroms and 20 Angstroms.
4. The integrated circuit of claim 1, wherein the third thickness is between about 20 Angstroms and 40 Angstroms.
5. The integrated circuit of claim 1, wherein each of the first, second, and third interfacial layers includes silicon dioxide.
6. The integrated circuit of claim 1, wherein the first gate structure further includes a first dielectric layer wrapping the first interfacial layer, wherein the second gate structure further includes a second dielectric layer wrapping the second interfacial layer, wherein the third gate structure further includes a third dielectric layer wrapping the third interfacial layer and wherein thicknesses of the first, second, and third dielectric layers measured in the second direction are substantially equal.
7. The integrated circuit of claim 6, wherein each of the first, second, and third dielectric layers includes a material selected from the group of materials consisting of SiN, SiON, SiCON, SiOC, HfO2, and Al2O3.
8. The integrated circuit of claim 6, wherein the second dielectric layer has a higher concentration of nitrogen than the first and third dielectric layers.
9. The integrated circuit of claim 1, wherein the first and second GAA devices are core devices of the integrated circuit, and wherein the third GAA device is an input/output (I/O) device of the integrated circuit.
10. The integrated circuit of claim 1,
- wherein the first GAA device includes a first amorphous silicon layer between the first interfacial layer and the first channel member,
- wherein the second interfacial layer is in direct contact with the second channel member, and
- wherein the third GAA device includes a second amorphous silicon layer between the third interfacial layer and the third channel member.
11. An integrated circuit device, comprising:
- a core device including: a first channel member; a first gate structure engaging the first channel member, the first gate structure including a first interfacial layer wrapping a channel region of the first channel member; a second channel member; and a second gate structure engaging the second channel member, the second gate structure including a second interfacial layer wrapping a channel region of the second channel member, wherein a thickness of the second interfacial layer in a direction generally perpendicular to a longitudinal axis of the second channel member is greater than a thickness of the first interfacial layer in a direction generally perpendicular to a longitudinal axis of the first channel member; and
- an input/output (I/O) device including: a third channel member; and a third gate structure engaging the third channel member, the third gate structure including a third interfacial layer wrapping a channel region of the third channel member, wherein a thickness of the third interfacial layer in a direction generally perpendicular to a longitudinal axis of the third channel member is greater than the thickness of the second interfacial layer.
12. The integrated circuit device of claim 11, wherein a ratio of the thickness of the second interfacial layer to the thickness of the first interfacial layer is between about 1.1 and about 1.2.
13. The integrated circuit device of claim 11, wherein the thickness of the first interfacial layer is between about 5 Angstroms and 20 Angstroms.
14. The integrated circuit device of claim 11, wherein the longitudinal axes of the first channel member, the second channel member, and the third channel member are parallel.
15. The integrated circuit device of claim 11, wherein the first interfacial layer, the second interfacial layer, and the third interfacial layer include silicon dioxide.
16. A method, comprising:
- providing a structure having a first channel member, a second channel member, and a third channel member, wherein the first channel member and the second channel member are located in a core region of an integrated circuit device, and the third channel member is located in an input/output (I/O) region of the integrated circuit device;
- forming, by a first process, a first oxide layer and a second oxide layer, the first oxide layer wrapping a channel region of the first channel member, the second oxide layer wrapping a channel region of the second channel member;
- forming, by a second process different from the first process, a third oxide layer wrapping a channel region of the third channel member;
- forming a first dielectric layer, a second dielectric layer, and a third dielectric layer over the first oxide layer, the second oxide layer, and the third oxide layer, respectively;
- forming a first capping layer, a second capping layer, and a third capping layer over the first dielectric layer, the second dielectric layer, and the third dielectric layer, respectively;
- removing the second capping layer to expose the second dielectric layer, wherein the first capping layer and the third capping layer respectively remain over the first dielectric layer and the third dielectric layer after the removing of the second capping layer; and
- after removing the second capping layer, performing an annealing process to increase a thickness of the second oxide layer.
17. The method of claim 16, wherein, after the annealing process, a ratio of the thickness of the second oxide layer to a thickness of the first oxide layer is between about 1.1 and about 1.2, and wherein a thickness of the third oxide layer is greater than the thickness of the second oxide layer.
18. The method of claim 16, wherein the second process is performed prior to the first process, the method further comprising, before performing the first process:
- forming, by the second process, the third oxide layer wrapping the channel region of the first channel member and the channel region of the second channel member;
- forming an etch mask covering the I/O region of the integrated circuit device;
- removing the third oxide layer from the channel region of the first channel member and from the channel region of the second channel member while the etch mask covers the I/O region of the integrated circuit device; and
- removing the etch mask to expose the third oxide layer wrapping the channel region of the third channel member.
19. The method of claim 16, wherein the first process includes a treatment of the first channel member and the second channel member with H2SO4, H2O2, or a combination thereof.
20. The method of claim 16, wherein performing the annealing process includes a spike annealing process having a nitrogen-containing ambient environment, an initial temperature between about 500 degrees Celsius and about 700 degrees Celsius, and a peak temperature between about 700 degrees Celsius and about 900 degrees Celsius.
Type: Application
Filed: Dec 27, 2019
Publication Date: Jul 1, 2021
Inventors: Pei-Hsun Wu (Hsinchu), Ming-Hung Han (Hsinchu), Po-Nien Chen (Miaoli County), Chih-Yung Lin (Hsinchu County)
Application Number: 16/728,154