METHOD FOR FORMING A DOPED METAL CARBIDE FILM ON A SUBSTRATE AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Methods for depositing a doped metal carbide film on a substrate are disclosed. The methods may include: depositing a doped metal carbide film on a substrate utilizing at least one deposition cycle of a cyclical deposition process; and contacting the doped metal carbide film with a plasma generated from a hydrogen containing gas. Semiconductor device structures including a doped metal carbide film formed by the methods of the disclosure are also disclosed.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a Continuation of, and claims priority to and the benefit of, U.S. patent application Ser. No. 16/400,814, filed May 1, 2019 and entitled “METHOD OF FORMING A DOPED METAL CARBIDE FILM ON A SUBSTRATE AND RELATED SEMICONDUCTOR DEVICE STRUCTURES,” which is a Non-Provisional of, and claims priority to and the benefit of, U.S. Provisional Application No. 62/670,312, filed May 11, 2018 and entitled “METHOD OF FORMING A DOPED METAL CARBIDE FILM ON A SUBSTRATE AND RELATED SEMICONDUCTOR DEVICE STRUCTURES,” both of which are hereby incorporated by reference herein.

FIELD OF INVENTION

The present disclosure relates generally to methods for forming a doped metal carbide film on a substrate and particularly to methods for depositing a doped metal carbide film on a substrate and subsequently contacting the doped metal carbide film with a plasma. The present disclosure is also related generally to semiconductor device structures comprising a doped metal carbide film deposited by a cyclical deposition process and a plasma treatment process.

BACKGROUND OF THE DISCLOSURE

Complementary metal-oxide-semiconductor (CMOS) technology has conventionally utilized n-type and p-type polysilicon as the gate electrode material. However, doped polysilicon may not be an ideal gate electrode material for advanced technology node applications. For example, although doped polysilicon is conductive, there may still be a surface region which can be depleted of carriers under bias. This depleted region may appear as an extra gate insulator thickness, commonly referred to as gate depletion, and may contribute to the equivalent oxide thickness. While the gate depletion region may be thin, on the order of a few Angstroms, it may become significant as the gate oxide thicknesses are reduced in advanced technology node applications. As a further example, polysilicon does not exhibit an ideal effective work function (eWF) for both NMOS and PMOS devices. To overcome the non-ideal effective work function of doped polysilicon, a threshold voltage adjustment implantation may be utilized. However, as device geometries reduce in advanced technology node applications, the threshold voltage adjustment implantation processes may become increasingly complex.

To overcome the problems associated with doped polysilicon gate electrodes, the non-ideal doped polysilicon gate material may be replaced with alternative materials, such as, for example, metals, metal nitrides and particularly metal carbides. For example, the properties of a metal carbide film may be utilized to provide a more ideal effective work function for both NMOS and PMOS devices, wherein the effective work function of the transistor gate structure, e.g., the energy needed to extract an electron, may be compatible with the barrier height of the semiconductor material.

Metal carbides have found use in various applications in the electronics industry, from gate electrodes to diffusion barriers. For example, tantalum carbide (TaC) is a metal carbide film that can be used as an n-type metal oxide semiconductor (NMOS) gate electrode. Further, tantalum carbide (TaC) has been found to be effective at inhibiting electromigration of noble metal atoms at the interface between metal interconnects and metal lines. As a further example, titanium carbide (TiC) may also be utilized as a portion of a gate structure contacting a NMOS semiconductor device.

In addition to metal carbides, doped metal carbides may have a number of semiconductor device applications. For example, aluminum doped transition metal carbides may be utilized as a portion of a gate structure contacting an NMOS semiconductor device, wherein the concentration of aluminum doping in the aluminum doped transition metal carbide may be varied to tailor the effective work function of the gate structure for a particular device application.

Generally metal carbides, and particularly doped transition metal carbides include metal elements in groups 4, 5, 6, 7, 8, 9 and 11 of the periodic table. The metal carbides, and particularly the doped transition metal carbides, are relatively inert, have very high melting points, are extremely hard and wear resistant, and have high thermal conductivity. However, commonly utilized methods for forming doped metal carbide films may result in films with high electrical resistivity, e.g., as high as 4000 μΩ-cm, resulting in a loss of efficiency in an associated semiconductor device structure. Accordingly, methods are desired for forming doped metal carbide films with preferred effective work functions and low electrical resistivity.

SUMMARY OF THE DISCLOSURE

This summary is provided to introduce a selection of concepts in a simplified form. These concepts are described in further detail in the detailed description of example embodiments of the disclosure below. This summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter.

In some embodiments, methods of forming a doped metal carbide film on a substrate are provided. The method may comprise: depositing a doped metal carbide film on the substrate utilizing at least one deposition cycle of a cyclic deposition process; and contacting the doped metal carbide film with a plasma generated from a hydrogen containing gas.

In some embodiments, NMOS semiconductor device structures are provided. The NMOS semiconductor device structure may comprise: a semiconductor body including a source region, a drain region, and a channel region disposed between the source and the drain region; a gate dielectric disposed directly over the channel region; and a gate electrode disposed over the gate dielectric; wherein the gate electrode comprises a doped metal carbide film disposed over the gate dielectric and a metal nitride film disposed directly over the doped metal carbide film; and wherein the gate electrode has an electrical resistivity of less than approximately 1000 μΩ-cm.

For purposes of summarizing the invention and the advantages achieved over the prior art, certain objects and advantages of the invention have been described herein above. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught or suggested herein without necessarily achieving other objects or advantages as may be taught or suggested herein.

All of these embodiments are intended to be within the scope of the invention herein disclosed. These and other embodiments will become readily apparent to those skilled in the art from the following detailed description of certain embodiments having reference to the attached figures, the invention not being limited to any particular embodiment(s) disclosed.

BRIEF DESCRIPTION OF THE DRAWING FIGURES

While the specification concludes with claims particularly pointing out and distinctly claiming what are regarded as embodiments of the invention, the advantages of embodiments of the disclosure may be more readily ascertained from the description of certain examples of the embodiments of the disclosure when read in conjunction with the accompanying drawings, in which:

FIG. 1 illustrates a non-limiting exemplary process flow, demonstrating a method for forming a low electrical resistivity doped metal carbide film according to embodiments of the disclosure;

FIG. 2 illustrates a non-limiting exemplary process flow, demonstrating a method for a forming a doped metal carbide/metal nitride stack structure according to embodiments of the disclosure; and

FIG. 3 illustrates a cross sectional schematic diagram of a device structure comprising a doped metal carbide film formed according to embodiments of the disclosure.

DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS

Although certain embodiments and examples are disclosed below, it will be understood by those in the art that the invention extends beyond the specifically disclosed embodiments and/or uses of the invention and obvious modifications and equivalents thereof. Thus, it is intended that the scope of the invention disclosed should not be limited by the particular disclosed embodiments described below.

The illustrations presented herein are not meant to be actual views of any particular material, structure, or device, but are merely idealized representations that are used to describe embodiments of the disclosure.

As used herein, the term “cyclical deposition” may refer to the sequential introduction of precursors (reactants) into a reaction chamber to deposit a film over a substrate and includes deposition techniques such as atomic layer deposition and cyclical chemical vapor deposition.

As used herein, the term “cyclical chemical vapor deposition” may refer to any process wherein a substrate is sequentially exposed to two or more volatile precursors, which react and/or decompose on a substrate to produce a desired deposition.

As used herein, the term “substrate” may refer to any underlying material or materials that may be used, or upon which, a device, a circuit, or a film may be formed.

As used herein, the term “atomic layer deposition” (ALD) may refer to a vapor deposition process in which deposition cycles, preferably a plurality of consecutive deposition cycles, are conducted in a reaction chamber. Typically, during each cycle, the precursor is chemisorbed to a deposition surface (e.g., a substrate surface or a previously deposited underlying surface such as material from a previous ALD cycle), forming a monolayer or sub-monolayer that does not readily react with additional precursor (i.e., a self-limiting reaction). Thereafter, if necessary, a reactant (e.g., another precursor or reaction gas) may subsequently be introduced into the process chamber for use in converting the chemisorbed precursor to the desired material on the deposition surface. Typically, this reactant is capable of further reaction with the precursor. Further, purging steps may also be utilized during each cycle to remove excess precursor from the process chamber and/or remove excess reactant and/or reaction byproducts from the process chamber after conversion of the chemisorbed precursor. Further, the term “atomic layer deposition,” as used herein, is also meant to include processes designated by related terms such as, “chemical vapor atomic layer deposition”, “atomic layer epitaxy” (ALE), molecular beam epitaxy (MBE), gas source MBE, or organometallic MBE, and chemical beam epitaxy when performed with alternating pulses of precursor composition(s), reactive gas, and purge (e.g., inert carrier) gas.

As used herein, the term “film” and “thin film” may refer to any continuous or non-continuous structures and material deposited by the methods disclosed herein. For example, “film” and “thin film” could include 2D materials, nanorods, nanolaminates, nanotubes, or nanoparticles or even partial or full molecular layers or partial or full atomic layers or clusters of atoms and/or molecules. “Film” and “thin film” may comprise material or a layer with pinholes, but still be at least partially continuous.

As used herein, the term “work function metal” may refer to any conductive metal-containing material that results in an appropriate effective work function when formed in, on or over the gate dielectric of a semiconductor device.

As used herein, the term “metalorganic” or “organometallic” are used interchangeably and may refer to organic compounds containing a metal species. Organometallic compounds may be considered to be subclass of metalorganic compounds having direct metal-carbon bonds.

A number of example materials are given throughout the embodiments of the current disclosure, it should be noted that the chemical formulas given for each of the example materials should not be construed as limiting and that the non-limiting example materials given should not be limited by a given example stoichiometry.

The present disclosure includes methods and semiconductor device structures that may be used to form and may utilize doped metal carbide films. Such doped metal carbide films, such as, for example, doped transition metal carbide films, may be utilized as at least a portion of a transistor gate structure and may comprise one or more work function metals. In some embodiments of the disclosure, the work function metal(s) may be formed in part by utilizing cyclical deposition processes, such as, for example, atomic layer deposition processes, as well as plasma treatment processes.

The existing work function metals that may be utilized in the ALD formation of gate electrodes may have limitations due to their unsuitable effective work function values. For example, it is known that the effective work function of a material may vary as a function of its thickness. Therefore, as device geometries decrease in advance technology node applications, the thickness of the corresponding device films, such as the work function metal(s) of the gate electrode, may also decrease with an associated change in the value of the effective work function of an associated transistor gate structure. Such a change in the effective work function of the gate structure may result in a non-ideal effective work function for both NMOS and PMOS device structures. Methods and semiconductor device structures are therefore desired to provide a more desirable gate electrode with a preferred effective work function. Examples of such methods and semiconductor device structures are disclosed in further detail herein.

In addition, the present disclosure also generally includes methods for forming doped metal carbide films with low electrical resistivity. Doped metal carbide films have been formed by various methods including chemical vapor deposition (CVD), physical vapor deposition (PVD), and atomic layer deposition (ALD). However, such previously utilized methods commonly result in doped metal carbide films with high electrical resistivity. Accordingly, methods and associated semiconductor device structure are disclosed herein which may form and utilize low electrical resistivity doped metal carbide films.

Therefore, the embodiments of the disclosure may comprise methods of forming a doped metal carbide film on a substrate. In some embodiments, the methods may comprise: depositing a doped metal carbide film on the substrate utilizing at least one deposition cycle of a cyclical deposition process; and contacting the doped metal carbide film with a plasma generated from a hydrogen containing gas.

The methods of formation of doped metal carbide films disclosed herein may comprise a cyclical deposition phase and a plasma treatment phase.

A non-limiting example embodiment of a cyclical deposition process may include atomic layer deposition (ALD), wherein ALD is based on typically self-limiting reactions, whereby sequential and alternating pulses of reactants are used to deposit about one atomic (or molecular) monolayer of material per deposition cycle. The deposition conditions and precursors are typically selected to provide self-saturating reactions, such that an absorbed layer of one reactant leaves a surface termination that is non-reactive with the gas phase reactants of the same reactants. The substrate is subsequently contacted with a different reactant that reacts with the previous termination to enable continued deposition. Thus, each cycle of alternated pulses typically leaves no more than about one monolayer of the desired material. However, as mentioned above, the skilled artisan will recognize that in one or more ALD cycles more than one monolayer of material may be deposited, for example, if some gas phase reactions occur despite the alternating nature of the process.

In an ALD-type process utilized in part for the formation of a doped metal carbide film, such as, for example, a doped transition metal carbide film, one deposition cycle, i.e., a unit deposition cycle, may comprise exposing the substrate to a first vapor phase reactant, removing any unreacted first reactant and reaction byproducts from the reaction chamber, and exposing the substrate to a second vapor phase reactant, followed by a second removal step. In some embodiments of the disclosure, the first vapor phase reactant may comprise a metal precursor and the second vapor phase reactant may comprise a carbon component and a metal component.

Precursors may be separated by inert gases, such as argon (Ar) or nitrogen (N2), to prevent gas-phase reactions between reactants and enable self-saturating surface reactions. In some embodiments, however, the substrate may be moved to separately contact a first vapor phase reactant and a second vapor phase reactant. Because the reactions self-saturate, strict temperature control of the substrates and precise dosage control of the precursors may not be required. However, the substrate temperature is preferably such that an incident gas species does not condense into monolayers nor decompose on the surface. Surplus chemicals and reaction byproducts, if any, are removed from the substrate surface, such as by purging the reaction space or by moving the substrate, before the substrate is contacted with the next reactive chemical. Undesired gaseous molecules can be effectively expelled from a reaction space with the help of an inert purging gas. A vacuum pump may be used to assist in the purging.

Reactors capable of being used to deposit doped metal carbide films, such as, for example, doped transition metal carbide films, can be used for the deposition phase of the doped metal carbide formation processes described herein. Such reactors include ALD reactors, as well as CVD reactors, configured to provide the precursors. According to some embodiments, a showerhead reactor may be used. According to some embodiments, cross-flow, batch, minibatch, or spatial ALD reactors may be used.

In some embodiments of the disclosure, a batch reactor may be used. In some embodiments, a vertical batch reactor may be used. In other embodiments, a batch reactor comprises a minibatch reactor configured to accommodate 10 or fewer wafers, 8 or fewer wafers, 6 or fewer wafers, 4 or fewer wafers, or 2 or fewer wafers. In some embodiments in which a batch reactor is used, wafer-to-wafer non-uniformity is less than 3% (1 sigma), less than 2%, less than 1%, or even less than 0.5%.

The deposition phase and the plasma treatment phase of the exemplary processes described herein may optionally be carried out in a reactor or reaction chamber connected to a cluster tool. In a cluster tool, because each reaction chamber is dedicated to one type of process, the temperature of the reaction chamber in each module can be kept constant, which improves the throughput compared to a reactor in which the substrate is heated up to the process temperature before each run. Additionally, in a cluster tool, it is possible to reduce the time to pump the reaction chamber to the desired process pressure levels between substrates. In some embodiments of the disclosure, both the deposition phase and the plasma treatment phase of the doped metal carbide film formation processes disclosed herein may be performed in a cluster tool comprising multiple reaction chambers, wherein each individual reaction chamber may be utilized to expose the substrate to an individual precursor gas/plasma chemistry and the substrate may be transferred between different reaction chambers for exposure to multiple precursors gases and/or plasma chemistries, the transfer of the substrate being performed under a controlled ambient to prevent oxidation/contamination of the substrate. In some embodiments of the disclosure, the deposition phase and the plasma treatment phase of the doped metal carbide film formation process may be performed in a cluster tool comprising multiple reaction chambers, wherein each individual reaction chamber may be configured to heat the substrate to a different temperature.

A stand-alone reactor may be equipped with a load-lock. In that case, it is not necessary to cool down the reaction chamber between each run.

In some embodiments, a deposition phase in the formation of a doped metal carbide film, such as a doped transition metal carbide film, may comprise a plurality of deposition cycles, for example ALD cycles or cyclical CVD cycles.

In some embodiments, the cyclical deposition process may be a hybrid ALD/CVD or a cyclical CVD process. For example, in some embodiments, the growth rate of the ALD process may be low compared with a CVD process. One approach to increase the growth rate may be that of operating at a higher substrate temperature than that typically employed in an ALD process, resulting in some portion of a chemical vapor deposition process, but still taking advantage of the sequential introduction of precursors, such a process may be referred to as cyclical CVD. In some embodiments, a cyclical CVD process may comprise the introduction of two or more precursors into the reaction chamber wherein there may be a time period of overlap between the two or more precursors in the reaction chamber resulting in both an ALD component of the deposition and a CVD component of the deposition. For example, a cyclical CVD process may comprise the continuous flow of a one precursor and the periodic pulsing of a second precursor into the reaction chamber.

According to some embodiments of the disclosure, ALD processes may be used in part to form a doped metal carbide film, such as, for example, a doped transition metal carbide film, on a substrate, such as an integrated circuit work piece. In some embodiments, of the disclosure, each ALD cycle may comprise two distinct deposition steps or stages. In a first stage of the deposition cycle (“the metal stage”), the substrate surface on which deposition is desired may be contacted with a first vapor phase reactant comprising a metal precursor which chemisorbs on to the surface of the substrate, forming no more than about one monolayer of reactant species on the surface of the substrate. In a second stage of the deposition (“the carbon stage”), the substrate surface on which deposition is desired may be contacted with a second vapor phase reactant comprising a carbon containing vapor phase reactant, i.e., the carbon precursor, wherein the doped metal carbide film may be deposited due to the reaction between the metal vapor phase reactant and the carbon vapor phase reactant.

In some embodiments of disclosure, a cyclical deposition process may be utilized in part to form a doped metal carbide film, such as, for example, a doped transition metal carbide film, or an aluminum doped transition metal carbide film. The doped metal carbide film deposited by the cyclical deposition process may be subsequently contacted with a plasma in a plasma treatment phase of the doped metal carbide formation process. An exemplary doped metal carbide formation process may be understood with reference to FIG. 1 which illustrates an exemplary formation process 100 for forming a low electrical resistivity doped metal carbide.

In more detail, FIG. 1 illustrates an exemplary doped metal carbide formation process 100 including a cyclical deposition phase 105 and a plasma treatment phase 115. The exemplary doped metal carbide formation process 100 may commence with a process block 110, which comprises providing a substrate into a reaction chamber and heating the substrate to a desired deposition temperature. The reaction chamber utilized for the deposition may be an atomic layer deposition reaction chamber, or a chemical vapor deposition reaction chamber, or any of the reaction chambers as previously described herein. In some embodiments of the disclosure, the substrate may be heated to a desired deposition temperature for the subsequent cyclical deposition phase of the exemplary process 100. For example, the substrate may be heated to a substrate temperature of less than approximately 750° C., or less than approximately 650° C., or less than approximately 550° C., or less than approximately 450° C., or less than approximately 350° C., or less than approximately 250° C., or even less than approximately 150° C. In some embodiments of the disclosure, the substrate temperature during the cyclical deposition phase may be between 300° C. and 750° C., or between 400° C. and 600° C., or between 400° C. and 450° C.

Upon heating the substrate to a desired deposition temperature, the exemplary doped metal carbide formation process 100 may continue with a cyclical deposition phase 105 by means of a process block 120, which comprises contacting the substrate with a first vapor phase reactant and particularly, in some embodiments, contacting the substrate with a first vapor phase reactant comprising one or more metal vapor phase reactants, i.e., the metal precursor. In some embodiments of the disclosure, the one or more metal precursors may comprise a transition metal precursor. In some embodiments, the transition metal precursor may comprise a transition metal halide, such as, for example, at least one of a transition metal chloride, a transition metal bromide, or a transition metal iodide. In some embodiments, the metal precursor may comprise a transition metal precursor including at least one of the transition metals selected from the group consisting of, titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), and tungsten (W). As a non-limiting example embodiment, the first vapor phase reactant may comprise a titanium halide, such as, for example, titanium tetrachloride (TiCl4).

In some embodiments of the disclosure, contacting the substrate with a first vapor phase reactant comprising a metal precursor may comprise contacting the metal precursor to the substrate for a time period of between about 0.01 seconds and about 60 seconds, between about 0.05 seconds and about 10 seconds, or between about 0.1 seconds and about 5.0 seconds. In addition, during the contacting of the substrate with the metal containing precursor, the flow rate of the metal precursor may be less than 2000 sccm, or less than 500 sccm, or even less than 100 sccm. In addition, during the contacting of the substrate with the metal precursor the flow rate of the metal precursor may range from about 1 to 2000 sccm, from about 5 to 1000 sccm, or from about 10 to about 500 sccm.

The exemplary doped metal carbide formation process 100 of FIG. 1 may continue by purging the reaction chamber. For example, excess first vapor phase reactant and reaction byproducts (if any) may be removed from the surface of the substrate, e.g., by pumping with an inert gas. In some embodiments of the disclosure, the purge process may comprise a purge cycle wherein the substrate surface is purged for a time period of less than approximately 5.0 seconds, or less than approximately 3.0 seconds, or even less than approximately 2.0 seconds. Excess first vapor phase reactant, such as, for example, excess metal precursors and any possible reaction byproducts may be removed with the aid of a vacuum, generated by a pumping system in fluid communication with the reaction chamber.

Upon purging the reaction chamber with a purge cycle the exemplary doped metal carbide formation process 100 may continue with a second stage of the cyclical deposition phase 105 by means of a process block 130 which comprises, contacting the substrate with a second vapor phase reactant and particularly contacting the substrate with a second vapor phase reactant comprising a carbon component and a metal components, i.e., such that the second vapor phase reactant comprises at least both a carbon component and a metal component.

In some embodiments of the disclosure, the second vapor phase reactant may comprise one or more metalorganic precursors wherein the metal component of the metalorganic precursor may also be incorporated into the metal carbide film as it is deposited, i.e., forming a metal-doped metal carbide, such as, for example, an aluminum doped transition metal carbide. In some embodiments of the disclosure, the second vapor phase reactant may comprise at least one metalorganic precursor, wherein the metalorganic precursor comprises an aluminum (Al) component, i.e., the aluminum metalorganic precursor. For example, the aluminum metalorganic precursor may comprise at least one of trimethylaluminum (TMA), triethylaluminum (TEA), dimethylaluminumhydride (DMAH), or tritertbutylaluminum (TTBA).

As a non-limiting example, the first vapor phase reactant may comprise titanium tetrachloride (TiCl4) and the second vapor phase reactant may comprise triethylaluminum (TEA), and the doped metal carbide film deposited by the cyclical deposition phase 105 of exemplary process 100 may comprise an aluminum doped titanium carbide.

In some embodiments of the disclosure, contacting the substrate with the second vapor phase reactant may comprise, contacting the second vapor phase reactant to the substrate for a time period of between about 0.01 seconds and about 60 seconds, between about 0.05 seconds and about 10 seconds, or between about 0.1 seconds and about 5.0 seconds. In addition, during the contacting of the substrate with the second vapor phase reactant, the flow rate of the second vapor phase reactant may be less than 2000 sccm, or less than 500 sccm, or even less than 100 sccm. In addition, during the contacting of the substrate with the second vapor phase reactant the flow rate of the second vapor phase reactant may range from about 1 to 2000 sccm, from about 5 to 1000 sccm, or from about 10 to about 500 sccm.

Upon contacting the substrate with the second vapor phase reactant, the exemplary doped metal carbide film formation process 100 may proceed by purging the reaction chamber. For example, excess second vapor phase reactant and reaction byproducts (if any) may be removed from the surface of the substrate, e.g., by pumping whilst flowing an inert gas. In some embodiments of the disclosure, the purge process may comprise purging the substrate surface for a time period of between approximately 0.1 seconds and approximately 10 seconds, or between approximately 0.5 seconds and approximately 3 seconds, or even between approximately 1 second and 2 seconds.

Upon completion of the purge of the second vapor phase reactant (and any reaction byproducts) from the reaction chamber, the cyclic deposition phase 105 of exemplary doped metal carbide formation process 100 may continue with a decision gate 140, wherein the decision gate 140 is dependent on the thickness of the doped metal carbide film deposited. For example, if the doped metal carbide film is deposited at an insufficient thickness for a desired device application, or alternatively if the doped metal carbide film is deposited at an insufficient thickness for a subsequent plasma treatment process, then the cyclical deposition phase 105 may be repeated by returning to the process block 120 and continuing through a further deposition cycle, wherein one deposition cycle, i.e., a unit deposition cycle, may comprise, contacting the substrate with a first vapor phase reactant comprising a metal precursor (process block 120), purging the reaction chamber, contacting the substrate with a second vapor phase reactant comprising a carbon component and a metal component (process block 130), and again purging the reaction chamber. A deposition cycle of cyclical deposition phase 105 may be repeated one or more times until a desired thickness of a doped metal carbide film is deposited over the substrate.

It should be appreciated that in some embodiments of the disclosure, the order of contacting of the substrate with the first vapor phase reactant and the second vapor phase reactant may be such that the substrate is first contacted with the second vapor phase reactant followed by the first vapor phase reactant. In addition, in some embodiments, the cyclical deposition phase 105 of exemplary process 100 may comprise, contacting the substrate with the first vapor phase reactant one or more times prior to contacting the substrate with the second vapor phase reactant one or more times. In addition, in some embodiments, the cyclical deposition phase 105 of exemplary process 100 may comprise, contacting the substrate with the second vapor phase reactant one or more times prior to contacting the substrate with the first vapor phase reactant one or more times.

In some embodiments of the disclosure, the growth rate of the doped metal carbide film, e.g., a doped transition metal carbide, may be from about 0.005 Å/cycle to about 5 Å/cycle, or from about 0.01 Å/cycle to about 2.0 Å/cycle. In some embodiments, the growth rate of the doped metal carbide film may be from about 0.1 Å/cycle to about 10 Å/cycle. In some embodiments the growth rate of the doped metal carbide film is more than about 0.05 Å/cycle, or more than about 0.1 Å/cycle, or more than about 0.15 Å/cycle, or more than about 0.20 Å/cycle, or more than about 0.25 Å/cycle, or even more than about 0.3 Å/cycle. In some embodiments the growth rate of the doped metal carbide film is less than about 2.0 Å/cycle, or less than about 1.0 Å/cycle, or less than about 0.75 Å/cycle, or less than about 0.5 Å/cycle, or even less than about 0.2 Å/cycle. In some embodiments of the disclosure, the doped metal carbide is deposited at a growth rate of approximately 4 Å/cycle.

The doped metal carbide films deposited in the cyclical deposition phase 105 of exemplary process 100 may be continuous films. In some embodiments, the metal carbide film, e.g., a transition metal carbide, may be continuous at a thickness below approximately 100 Angstroms, or below approximately 60 Angstroms, or below approximately 50 Angstroms, or below approximately 40 Angstroms, or below approximately 30 Angstroms, or below approximately 20 Angstroms, or below approximately 10 Angstroms, or even below approximately 5 Angstroms. The continuity referred to herein can be physical continuity or electrical continuity. In some embodiments of the disclosure the thickness at which a material film may be physically continuous may not be the same as the thickness at which a film is electrically continuous, and vice versa.

In some embodiments of the disclosure, the metal carbide film deposited in the cyclical deposition phase 105, of exemplary process 100, may have a thickness from about 20 Angstroms to about 100 Angstroms, or about 20 Angstroms to about 60 Angstroms. In some embodiments, a doped metal carbide film deposited according to some of the embodiments described herein may have a thickness greater than about 20 Angstroms, or greater than about 30 Angstroms, or greater than about 40 Angstroms, or greater than about 50 Angstroms, or greater than about 60 Angstroms, or greater than about 100 Angstroms, or greater than about 250 Angstroms, or greater than about 500 Angstroms, or even greater. In some embodiments a doped metal carbide film, e.g., a transition metal carbide, deposited according to some of the embodiments described herein may have a thickness of less than about 50 Angstroms, or less than about 30 nanometers, or less than about 20 Angstroms, or less than about 15 Angstroms, or less than about 10 Angstroms, or less than about 5 Angstroms, or less than about 3 Angstroms, or even less than about 2 Angstroms. In some embodiments, the doped metal carbide film may have a thickness between approximately 10 Angstroms and 15 Angstroms.

In some embodiments of the disclosure, the first vapor phase reactant may comprise a transition metal reactant and the second vapor phase reactant may comprise a metalorganic reactant, such as, for example, an aluminum containing metalorganic reactant. In such embodiments, the doped metal carbide film may comprise, for example an aluminum doped transition metal carbide. For example, an aluminum doped transition metal carbide may be referred to a transition metal aluminum carbide and may be represented by the general formula XAlC, wherein X comprises a transition metal, Al is aluminum, and C is carbon. In some embodiments, the transition metal aluminum carbide may comprise a transition metal selected from the group consisting of, titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), and tungsten (W). In some embodiments of the disclosure, the transition metal may comprise titanium and the transition metal aluminum carbide may comprise titanium aluminum carbide (TiAlC).

In some embodiments of the disclosure, a doped metal carbide film, such as, aluminum doped titanium carbide may comprise a composite material, wherein a composite material is formed from two or more constituent materials with different physical or chemical properties that, when combined, form a material with characteristics that may differ from the individual component materials. As a non-limiting example, the cyclical deposition phase 105 of exemplary process 100 may be utilized to deposit an aluminum doped transition metal carbide film over a substrate, wherein the aluminum doped transition metal carbide films may comprise at least doped transition metal carbide regions, aluminum carbide regions, and carbon regions. Not to be bound by any particular theory, but it is believed that the removal of at least a portion of certain regions, or surfaces of certain regions, of the composite material may result in a material, such as, for example, an aluminum doped transition metal carbide, with lower electrical resistivity. As a non-limiting example, the removal of at least a portion of carbon regions in an aluminum doped transition metal carbide may result in a metal carbide film with lower electrical resistivity.

In some embodiments of the disclosure, the removal process may be a surface removal process utilized to modify the surface characteristics of the doped metal carbide film deposited during the cyclical deposition phase 105 of the exemplary process 100. As a non-limiting example, the cyclical deposition phase 105 may be utilized to deposit an aluminum doped titanium carbide film (TiAlC) over a substrate and a removal process may be utilized to remove select species from the surface region of the TiAlC film, wherein the select species for removal may include, but are not limited, to carbon species, chlorine species and oxygen species. Again, not to be bound to any particular theory, but it is believed that removal of certain species from the surface of the deposited doped metal carbide film may result in a surface region which has a more metallic behavior which may result in a doped metal carbide film with reduced electrical resistivity and a reduced effective work function more suitable for certain semiconductor device applications. In some embodiments of the disclosure, the removal processes for altering the nature of the deposited doped metal carbide film may be performed by contacting the deposited doped metal carbide with a plasma.

Therefore, in some embodiments of the disclosure, the exemplary doped metal carbide formation process 100 (FIG. 1) may continue with a plasma treatment phase 115 by means of a process block 150 which comprises, contacting the doped metal carbide with a plasma generated from a hydrogen containing gas.

In more detail, the substrate with the doped metal carbide film disposed thereon may be disposed in a reaction chamber configured for contacting the doped metal carbide film with a plasma. In some embodiments of the disclosure, the reaction chamber configured for producing a plasma may be the same as that utilized to deposit the doped metal carbide film, i.e., the reaction chamber utilized to perform the cyclical deposition phase 105 of exemplary process 100. In alternative embodiments, the reaction chamber configured for producing a plasma may be different to the reaction chamber utilized to deposit the doped metal carbide film and as a result the substrate with the doped metal carbide disposed thereon may be transferred from a first reaction chamber (i.e., the reaction chamber configured to perform the cyclical deposition process) to a second reaction chamber (i.e., a reaction chamber configured for producing a plasma).

In some embodiments of the disclosure, both the first reaction chamber and the second reaction chamber may form at least part of cluster tool such that the first reaction chamber and the second reaction are components of a common semiconductor processing apparatus. Therefore the substrate with the doped metal carbide disposed thereon may be transferred between the first reaction chamber and the second chamber under a controlled environment, e.g., without exposure to ambient conditions thereby preventing, or substantially preventing, any unwanted oxidation of the doped metal carbide film.

Once the substrate with the doped metal carbide film disposed thereon is disposed in a reaction chamber configured for producing a plasma, the plasma treatment phase 115 of exemplary process 100 may proceed by contacting the doped metal carbide with a plasma generated from a hydrogen containing gas. In some embodiments, the reaction chamber configured for producing a plasma may comprise a plasma etching apparatus, such as, for example, a reactive ion etcher (RIE), inductively coupled plasma (ICP) etcher, or an electron cyclotron resonance (ECR) etcher. In some embodiments, the semiconductor processing apparatus configured for producing a plasma may comprise a plasma based deposition system, such as, for example, a plasma-enhanced chemical vapor deposition (PECVD) system, or an plasma-enhanced atomic layer deposition (PEALD) system. In some embodiments of the disclosure, the plasma may be generated by one or more of a direct plasma, a remote plasma, or a microwave plasma.

In some embodiments of the disclosure, the doped metal carbide may be disposed upon a susceptor within the reaction chamber configured for supplying a plasma and the susceptor may include one or more heating elements to control the temperature of the substrate and the doped metal carbide disposed thereon during the plasma treatment phase. For example, in some embodiments the substrate may be heated to a temperature of greater than approximately 100° C., or greater than approximately 150° C., or even greater than approximately 200° C.

The plasma utilized to contact the metal carbide film may be produced by exciting a gas into a plasma state. In some embodiments of the disclosure, a hydrogen containing gas may be utilized to produce the plasma. For example, the hydrogen containing gas may comprise at least one of hydrogen (H2), ammonia (NH3), hydrazine (N2H4), or a hydrazine derivate. The excitation of a hydrogen containing gas into a plasma state may comprise the generation of at least one of atomic hydrogen (H), hydrogen ions, and hydrogen radicals. In some embodiments of the disclosure, the hydrogen based plasma may contact the doped metal carbide film for a time period of greater than approximately 5 seconds, or greater than approximately 15 seconds, or even greater than 30 seconds. In some embodiments, the hydrogen based plasma may contact the doped metal carbide film for a time period between approximately 5 seconds and 30 seconds.

In some embodiments of the disclosure, contacting the doped metal carbide with the hydrogen based plasma may alter the nature of the doped metal carbide film. In some embodiments, the hydrogen based plasma may alter the contacting surface, or a contacting surface region of the metal doped carbide film.

In some embodiments of the disclosure, contacting the doped metal carbide film with the hydrogen based plasma may remove select regions, or portions, of the doped metal carbide films. In some embodiments, contacting the doped metal carbide with the plasma further comprises removing a portion of the carbon from the doped metal carbide. In some embodiments, contacting the doped metal carbide with the plasma may further comprise removing a portion of the carbon from a surface region of the doped metal carbide. In some embodiments, contacting the doped metal carbide with the plasma further comprises removing a portion of the chlorine from the doped metal carbide. In some embodiments, contacting the doped metal carbide with the plasma may further comprise removing a portion of the chlorine from a surface region of the doped metal carbide. In some embodiments, contacting the doped metal carbide with the plasma further comprises removing a portion of the oxygen from the doped metal carbide. In some embodiments, contacting the doped metal carbide with the plasma may further comprise removing a portion of the oxygen from a surface region of the doped metal carbide. In certain embodiments of the disclosure, the doped metal carbide film may comprise an aluminum doped transition metal carbide and contacting the aluminum doped transition metal carbide with the plasma may further comprise removing at least a portion of the carbon regions.

After contacting the doped metal carbide film with the hydrogen based plasma the plasma treatment phase 115 of exemplary process 100 may continue by means of a decision gate 160, the decision gate being dependent on the desired thickness of the plasma treated doped metal carbide. If the thickness of the plasma treated doped metal carbide is insufficient for the desired semiconductor device application then the exemplary doped metal carbide formation process 100 may return to the cyclical deposition phase 105 and an additional thickness of doped metal carbide film may be deposited via process block 120 and process block 130 (with intervening purge cycles). It should be noted that if the decision gate 160 results in the decision to deposit further doped metal carbide via cyclical deposition phase 105 then the substrate with the plasma treated doped metal carbide film disposed thereon may need to be transferred from a second reaction chamber (configured for supplying a plasma) to a first reaction chamber (configured for cyclical deposition processes), the transfer taking place under a controlled environment to prevent exposure of the plasma treated doped metal carbide to ambient conditions.

The exemplary doped metal carbide formation process 100 may continue with any number of cyclical deposition phases 105 followed by plasma treatment phases 115 until a desired thickness of plasma treated doped metal carbide is formed, in other words, in some embodiments the steps of depositing a doped metal carbide film and contacting the doped metal carbide film with a plasma generated from a hydrogen containing gas may be repeated one or more times. Once a plasma treated doped metal carbide film of sufficient thickness is formed the exemplary process 100 may exit via a process block 170 and the plasma treated doped metal carbide film may be subjected to further processes in order to fabricate a semiconductor device structure.

In some embodiments of the disclosure, the exemplary doped metal carbide formation process may form a doped metal carbide film with a reduced electrical resistivity. In some embodiments, contacting the doped metal carbide film with the plasma may further comprise reducing the electrical resistivity of the doped metal carbide to less than 5000 μΩ-cm, or less than 4000 μΩ-cm, or less than 3000 μΩ-cm, or less than 2000 μΩ-cm, or less than 1500 μΩ-cm, or less than 1000 μΩ-cm, or less than 500 μΩ-cm, or less than 400 μΩ-cm, or less than 300 μΩ-cm, or even less than 200 μΩ-cm. In some embodiments, the electrical resistivity of the doped metal carbide film may be between approximately 200 μΩ-cm and 5000 μΩ-cm. In certain embodiments, the doped metal carbide film formed may comprise an aluminum doped transition metal carbide and contacting the aluminum doped transition metal carbide with the plasma may further comprise reducing the electrical resistivity of the aluminum doped transition metal carbide to less than 5000 μΩ-cm, or less than 4000 μΩ-cm, or less than 3000 μΩ-cm, or less than 2000 μΩ-cm, or less than 1500 μΩ-cm, or less than 1000 or less than 4000 μΩ-cm, or less than 3000 μΩ-cm, or less than 2000 μΩ-cm, or less than 500 μΩ-cm, or less than 400 μΩ-cm, or less than 300 μΩ-cm, or even less than 200 μΩ-cm. In some embodiments, the electrical resistivity of the aluminum doped transition metal carbide film may be between approximately 200 μΩ-cm and 5000 μΩ-cm.

The doped metal carbide films formed by exemplary process 100 may be continuous films. In some embodiments, the doped metal carbide film, e.g., a doped transition metal carbide, may be continuous at a thickness below approximately 100 Angstroms, or below approximately 60 Angstroms, or below approximately 50 Angstroms, or below approximately 40 Angstroms, or below approximately 30 Angstroms, or below approximately 20 Angstroms, or below approximately 10 Angstroms, or even below approximately 5 Angstroms. The continuity referred to herein can be physical continuity or electrical continuity. In some embodiments of the disclosure the thickness at which a material film may be physically continuous may not be the same as the thickness at which a film is electrically continuous, and vice versa.

In some embodiments of the disclosure, the doped metal carbide film formed by exemplary process 100 may have a thickness from about 20 Angstroms to about 100 Angstroms, or about 20 Angstroms to about 60 Angstroms. In some embodiments, a doped metal carbide film deposited according to some of the embodiments described herein may have a thickness greater than about 20 Angstroms, or greater than about 30 Angstroms, or greater than about 40 Angstroms, or greater than about 50 Angstroms, or greater than about 60 Angstroms, or greater than about 100 Angstroms, or greater than about 250 Angstroms, or greater than about 500 Angstroms, or greater. In some embodiments a doped metal carbide film, e.g., an aluminum doped titanium carbide, deposited according to some of the embodiments described herein may have a thickness of less than about 50 Angstroms, or less than about 30 Angstroms, or less than about 20 Angstroms, or less than about 15 Angstroms, or less than about 10 Angstroms, or less than about 5 Angstroms, or even less than about 3 nanometers. In some embodiments, the doped metal carbide may have a thickness between approximately 20 Angstroms and approximately 50 Angstroms.

In some embodiments of the disclosure, the metal carbide film may be formed on a substrate comprising high aspect ratio features, e.g., a three-dimensional, non-planar substrate. In some embodiments, the step coverage of the doped metal carbide film, e.g., a doped transition metal carbide, may be equal to or greater than about 50%, or greater than about 80%, or greater than about 90%, or greater than about 95%, or greater than about 98%, or about 99% or greater on structures having aspect ratios (height/width) of greater than 2, or greater than 5, or greater than 10, or greater than 25, or greater than 50, or even greater than 100.

In additional embodiments of the disclosure, the doped metal carbide film formed by the methods disclosed herein may comprise a portion of a semiconductor device structure which may also include a metal nitride film disposed over the doped metal carbide film. For example, a doped metal carbide/metal nitride stack may be utilized as at least a portion of a gate electrode to a semiconductor device, such as, for example, a NMOS semiconductor device.

As a non-limiting example, FIG. 2 illustrates an exemplary process 200 for forming a doped metal carbide/metal nitride stack, i.e., wherein the metal nitride film is disposed directly over the doped metal carbide film. The exemplary process 200 may include process 100 for formation of the doped metal carbide film and process 205 for the formation of the metal nitride film directly over the doped metal carbide film.

In more detail, exemplary process 200 may commence with exemplary process 100 which has been described in detail herein previously and is therefore illustrated in FIG. 2 and described herein in abbreviated form. Therefore, exemplary process 200 may commence by means of a process block 110 comprising providing a substrate into a reaction chamber and heating the substrate to a deposition temperature of approximately less than 450° C. After heating the substrate to a suitable deposition temperature the exemplary process 200 may continue by means of a process block 105 which comprises depositing a doped metal carbide film to a desired thickness, i.e., utilizing a cyclical deposition process. The exemplary process 200 may continue by means of a process block 115 comprising, contacting the doped metal carbide with a plasma generated from a hydrogen containing gas. Process block 105 and process block 115 may be repeated one or more times until a sufficient thickness of a plasma treated doped metal carbide film has been deposited.

Upon formation of a plasma treated doped metal carbide film at a desired thickness, the exemplary method 200 may further comprise methods for depositing a metal nitride film directly over the plasma treated doped metal carbide as indicated by exemplary process 205 of FIG. 2. As previously described herein, the plasma treatment phase 115 of the doped metal carbide formation process may be performed in a reaction chamber, i.e., a second reaction chamber, configured for generating and contacting a plasma to the doped metal carbide film. In some embodiments of the disclosure, the reaction chamber utilized in the plasma treatment phase 115 of the doped metal carbide film formation may also be utilized in the subsequent process 205 for the formation of a metal nitride film directly over the doped metal carbide film. Therefore, the substrate with the plasma treated doped metal carbide formed thereon does not require a transfer between reaction chambers for the subsequent deposition of a metal nitride film, thereby eliminating the possible exposure of the doped metal carbide to ambient conditions which prevents unwanted oxidation and associated degradation of the doped metal carbide film.

Therefore, the exemplary process 200 may continue with a process for forming a metal nitride directly over the doped metal carbide, as illustrated by process 205 of FIG. 2. The metal nitride deposition process 205 may comprise a cyclical deposition process and in some embodiment may comprise a plasma-enhanced atomic layer deposition (PEALD) process, or an atomic layer deposition (ALD) process.

In more detail, the metal nitride deposition process 205 may commence by providing a substrate into a reaction chamber and heating the substrate to a desired deposition temperature. The reaction chamber utilized for the deposition may be an atomic layer deposition reaction chamber, or in some particular embodiments a plasma-enhanced atomic layer deposition reaction chamber, or any of the reaction chambers as previously described herein. In some embodiments of the disclosure, the substrate may be heated to a desired deposition temperature for the subsequent metal nitride deposition process of the exemplary process 200. For example, the substrate may be heated to a substrate temperature of less than approximately 750° C., or less than approximately 650° C., or less than approximately 550° C., or less than approximately 450° C., or less than approximately 350° C., or less than approximately 250° C., or even less than approximately 150° C. In some embodiments of the disclosure, the substrate temperature during the cyclical deposition phase may be between 300° C. and 750° C., or between 400° C. and 600° C., or between 400° C. and 450° C.

The metal nitride deposition process 205 of exemplary process 200 may continue by means of a process block 210 comprising, contacting the substrate with a metal precursor. In some embodiments of the disclosure, the metal precursor may comprise a transition metal precursor. In some embodiments, the transition metal precursor may comprise a transition metal halide, such as, for example, at least one of a transition metal chloride, a transition metal bromide, or a transition metal iodide. In some embodiments, the metal precursor may comprise a transition metal precursor including at least one of the transition metals selected from the group consisting of, titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), and tungsten (W). As a non-limiting example embodiment, the metal precursor may comprise a titanium halide, such as, for example, titanium tetrachloride (TiCl4) or titanium tetraiodide (TiI4).

In some embodiments of the disclosure, contacting the substrate with the metal precursor may comprise contacting the substrate with the metal precursor for a time period of between about 0.01 seconds and about 60 seconds, between about 0.05 seconds and about 10 seconds, or between about 0.1 seconds and about 5.0 seconds. In addition, during the contacting of the substrate with the metal containing precursor, the flow rate of the metal precursor may be less than 2000 sccm, or less than 500 sccm, or even less than 100 sccm. In addition, during the contacting of the metal precursor to the substrate the flow rate of the metal precursor may range from about 1 to 2000 sccm, from about 5 to 1000 sccm, or from about 10 to about 500 sccm.

The exemplary process 200 may continue by purging the reaction chamber. For example, excess metal precursor and reaction byproducts (if any) may be removed from the surface of the substrate, e.g., by pumping with an inert gas. In some embodiments of the disclosure, the purge process may comprise a purge cycle wherein the substrate surface is purged for a time period of less than approximately 5.0 seconds, or less than approximately 3.0 seconds, or even less than approximately 2.0 seconds. Excess metal precursor and any possible reaction byproducts may be removed with the aid of a vacuum, generated by a pumping system in fluid communication with the reaction chamber.

Upon purging of the reaction chamber, exemplary process 200 may continue by means of a process block 220 comprising, contacting the substrate with a plasma generated from a nitrogen containing gas. In some embodiments of the disclosure, the nitrogen containing gas may comprise at least one of: nitrogen (N2), ammonia (NH3) hydrazine (N2H4), or a hydrazine derivate. In some embodiments of the disclosure, the plasma may be generated by one or more of a direct plasma, a remote plasma, or a microwave plasma. In certain embodiments of the disclosure, the plasma may be generated remotely by a microwave source. In some embodiments, the nitrogen-based plasma may comprise nitrogen reactive species including, but not limited to, atomic nitrogen, nitrogen ions, nitrogen radicals, and excited nitrogen species.

The exemplary process 200 may continue by purging the reaction chamber. For example, excess nitrogen reactive species and reaction byproducts (if any) may be removed from the surface of the substrate, e.g., by pumping with an inert gas. In some embodiments of the disclosure, the purge process may comprise a purge cycle wherein the substrate surface is purged for a time period of less than approximately 5.0 seconds, or less than approximately 3.0 seconds, or even less than approximately 2.0 seconds.

Upon completion of the purge of the nitrogen reactive species (and any reaction byproducts) from the reaction chamber, the metal nitride deposition process 205 of exemplary process 200 may continue with a decision gate 230, wherein the decision gate 230 is dependent on the thickness of the metal nitride film deposited. For example, if the metal nitride film is deposited at an insufficient thickness for a desired device application, then the metal nitride deposition process 205 may be repeated by returning to the process block 210 and continuing through a further deposition cycle, wherein one deposition cycle may comprise, contacting the substrate with a metal precursor (process block 210), purging the reaction chamber, contacting the substrate with a plasma generated from a nitrogen containing gas (process block 220), and again purging the reaction chamber. A deposition cycle, of the metal nitride deposition process 205, may be repeated one or more times until a desired thickness of a metal nitride film is deposited over the substrate.

It should be appreciated that in some embodiments of the disclosure, the order of contacting of the substrate with the metal precursor and the nitrogen based plasma may be such that the substrate is first contacted with a nitrogen based plasma followed by the metal precursor. In addition, in some embodiments, the metal nitride deposition process 205 of exemplary process 200 may comprise, contacting the substrate with the metal precursor one or more times prior to contacting the substrate with the nitrogen based plasma one or more times. In addition, in some embodiments, the metal nitride deposition process 205 of exemplary process 200 may comprise, contacting the substrate with the nitrogen based plasma one or more times prior to contacting the substrate with the metal precursor one or more times.

In some embodiments of the disclosure, the metal nitride film formed by exemplary process 200 may have a thickness from about 20 Angstroms to about 100 Angstroms, or about 20 Angstroms to about 60 Angstroms. In some embodiments, a metal nitride film deposited according to some of the embodiments described herein may have a thickness greater than about 20 Angstroms, or greater than about 30 Angstroms, or greater than about 40 Angstroms, or greater than about 50 Angstroms, or greater than about 60 Angstroms, or greater than about 100 Angstroms, or greater than about 250 Angstroms, or greater than about 500 Angstroms, or even greater. In some embodiments a metal nitride film, e.g., a titanium nitride film, deposited according to some of the embodiments described herein may have a thickness of less than about 50 Angstroms, or less than about 30 Angstroms, or less than about 20 Angstroms, or less than about 15 Angstroms, or less than about 10 Angstroms, or even less than about 5 Angstroms.

In some embodiments of the disclosure, the metal nitride films deposited by the methods disclosed, such as, for example, a titanium nitride (TiN) film, may be deposited as an amorphous film, i.e., the metal nitride film may exhibit substantially no long range order that would be a characteristic of a crystalline film. As the metal nitride film may be deposited as an amorphous film, the amorphous metal nitride may be free of, or substantially free of, grain boundaries commonly located at the interface between crystallites in a polycrystalline material. In some embodiments of the disclosure, the amorphous metal nitride film may coalesce into a continuous film at a reduced thickness, i.e., the film closure thickness, of less than approximately 20 Angstroms, or less than approximately 15 Angstroms, or even less than approximately 10 Angstroms.

Once a metal nitride film has been deposited to a desired thickness, the exemplary process 200 may exit via a process block 240 and the semiconductor structure formed, comprising a doped metal carbide/metal nitride stack, may be subjected to further processes in order to form a semiconductor device structure.

The doped metal carbide films and doped metal carbide/metal nitride stacks formed according to the embodiments of the disclosure may be utilized in a variety of applications. As a non-limiting example embodiment, the doped metal carbide films and particularly the doped metal carbide/metal nitride stack may be utilized as the work function metals in a semiconductor transistor application, such as planar transistor structure or a multiple gate transistor (e.g., a FinFET).

In more detail, FIG. 3 illustrates a semiconductor device structure 300 which may comprise an NMOS semiconductor device structure. The semiconductor device structure 300 may comprise a semiconductor body 316 which includes a source region 302, a drain region 304, and a channel region 306 disposed therebetween. As the semiconductor device structure 300 may comprise an NMOS device, both the semiconductor body 316 and the channel region 306 may be doped p-type, and both the source region 302 and the drain region 304 may be doped n-type. In some embodiments, the semiconductor body 316 may comprise monocrystalline silicon.

A transistor gate structure 308 may be disposed directly above the channel region 306 and may comprise a gate dielectric 312 and a gate electrode 309.

In some embodiments, the gate dielectric 312 may comprise one or more of a silicon oxide and/or a high-k dielectric material, such as, for example, at least one of: hafnium oxide (HfO2), tantalum oxide (Ta2O5), zirconium oxide (ZrO2), titanium oxide (TiO2), hafnium silicate (HfSiOx), aluminum oxide (Al2O3) or lanthanum oxide (La2O3), or mixtures/laminates thereof. In some embodiments, the gate dielectric 312 may be formed directly above the channel region 306 at a thickness of approximately less than 2 nanometers.

The transistor gate structure 308 may also comprise a gate electrode 309, which in some embodiments of the disclosure may include a doped metal carbide film 310 and a metal nitride film 314 formed by the embodiments of the disclosure. For example, the metal nitride film 314 may be formed directly over the doped metal carbide film 310 utilizing exemplary process 200 (FIG. 2) wherein the doped metal carbide film may be deposited in a first reaction chamber, such as, for example, an atomic layer deposition reaction chamber, and both the plasma treatment process and the metal nitride deposition process may be performed in a second reaction chamber, such as, for example, a plasma-enhanced atomic layer deposition reaction chamber. Therefore, the gate electrode 309 may be formed without the exposure of the doped metal carbide film 310 to ambient conditions thereby preventing possible oxidation and related degradation of the doped metal carbide film 310. In some embodiments of the disclosure the gate electrode 309 may have a thickness of less than approximately 100 Angstroms, or less than approximately 60 Angstroms, or even less than approximately 40 Angstroms, and have an electrical resistivity of less than approximately 5000 μΩ-cm, or less than approximately 1500 μΩ-cm, or less than approximately 1000 μΩ-cm, or less than approximately 500 μΩ-cm, or less than approximately 400 μΩ-cm, or even less than approximately 250 μΩ-cm.

In some embodiments of the disclosure, the doped metal carbide film 310 may comprise an aluminum doped transition metal carbide, such as, for example, aluminum doped titanium carbide. In some embodiments, the doped metal carbide film 310 comprises an aluminum doped titanium carbide with a thickness of less than approximately 100 Angstroms, or less than 60 Angstroms nanometers, or even less than 20 Angstroms.

In some embodiments of the disclosure, the metal nitride film 314 may comprise a transition metal nitride film, such as, for example, titanium nitride (TiN). In some embodiments, the metal nitride film 314 comprises titanium nitride with a thickness of less than approximately 100 Angstroms, or less than 40 Angstroms, or even less than 20 Angstroms.

In some embodiments of the disclosure, the gate electrode 309 may comprise a metal carbide film 310 and a metal nitride film 314 and the transistor gate structure 308 may have an effective work function of less than approximately 4.3 eV, or less than approximately 4.2 eV, or even less than approximately 4.1 eV for a metal carbide film thickness of less than 50 Angstroms, or less than 30 Angstroms, or less than 20 Angstroms, or even less than 15 Angstroms.

The example embodiments of the disclosure described above do not limit the scope of the invention, since these embodiments are merely examples of the embodiments of the invention, which is defined by the appended claims and their legal equivalents. Any equivalent embodiments are intended to be within the scope of this invention. Indeed, various modifications of the disclosure, in addition to those shown and described herein, such as alternative useful combination of the elements described, may become apparent to those skilled in the art from the description. Such modifications and embodiments are also intended to fall within the scope of the appended claims.

Claims

1. A method for forming a doped metal carbide film on a substrate, the method comprising:

depositing a doped metal carbide film on the substrate by at least one deposition cycle of a cyclical deposition process, the doped metal carbide film consisting of a single metal carbide layer; and
contacting the doped metal carbide film with a plasma generated from a hydrogen-containing gas.

2. The method of claim 1, wherein the at least one deposition cycle of the cyclical deposition process comprises contacting the substrate with a first vapor phase reactant comprising a metal precursor and contacting the substrate with a second vapor phase reactant comprising a carbon component and a metal component.

3. The method of claim, 1 wherein the cyclical deposition process comprises an atomic layer deposition process.

4. The method of claim 1, wherein the steps of depositing the doped metal carbide film and contacting the doped metal carbide film with the plasma are repeated one or more times.

5. The method of claim 2, wherein the metal precursor comprises a transition metal precursor.

6. The method of claim 5, wherein the transition metal precursor comprises a transition metal halide.

7. The method of claim 6, wherein the transitional metal halide comprises at least one of a transition metal chloride, a transition metal bromide, or a transition metal iodide.

8. The method of claim 6, wherein the transition metal halide comprises at least one of titanium, tantalum, niobium, hafnium, tungsten, molybdenum, or zirconium.

9. The method of claim 2, wherein the metal component of the second vapor phase reactant comprises aluminum.

10. The method of claim 9, wherein the second vapor phase reactant comprise an aluminum metalorganic precursor.

11. The method of claim 10, wherein the aluminum metalorganic precursor comprises at least one of trimethylaluminum (TMA), triethylaluminum (TEA), dimethylaluminumhydride (DMAH), or tritertbutylaluminum (TTBA).

12. The method of claim 10, wherein the doped metal carbide film comprises an aluminum-doped transition metal carbide film.

13. The method of claim 12, wherein the aluminum-doped transition metal carbide film comprises a composite material including doped transition metal carbide regions, aluminum carbide regions, and carbon regions.

14. The method of claim 1, further comprising depositing the doped metal carbide film on the substrate to a thickness of greater than 3 Angstroms.

15. The method of claim 1, wherein the plasma is generated by direct plasma, remote plasma, or microwave plasma.

16. The method of claim 1, wherein the hydrogen-containing gas comprises at least one of hydrogen (H2), ammonia (NH3), hydrazine, or a hydrazine derivative.

17. The method of claim 1, wherein contacting the doped metal carbide with the plasma further comprises removing a portion of at least one of a carbon region, an oxygen region, or a chlorine region from the doped metal carbide.

18. The method of claim 1, wherein contacting the doped metal carbide film with the plasma further comprises reducing the electrical resistivity of the doped metal carbide film to less than approximately 1500 μΩ-cm.

19. The method of claim 1, wherein contacting the doped metal carbide film with the plasma further comprises reducing an effective work function of a gate structure including the doped metal carbide film to less than approximately 4.3 eV for a metal carbide film thickness of less than 20 Angstroms.

20. The method of claim 1, wherein depositing the doped metal carbide film is performed in a first reaction chamber and contacting the doped metal carbide film with the plasma is performed in a second reaction chamber.

Patent History
Publication number: 20210328036
Type: Application
Filed: Jun 28, 2021
Publication Date: Oct 21, 2021
Inventors: Dong Li (Phoenix, AZ), Peng-Fu Hsu (Scottsdale, AZ), Petri Raisanen (Gilbert, AZ), Moataz Bellah Mousa (Chandler, AZ), Ward Johnson (Gilbert, AZ), Xichong Chen (Chandler, AZ)
Application Number: 17/360,045
Classifications
International Classification: H01L 29/423 (20060101); H01L 21/28 (20060101); H01L 29/49 (20060101);