COMPOSITION FOR FORMING RESIST UNDERLYING FILM

R1aR2bSi(R3)4−(a+b)   (1) A composition for a silicon-containing resist underlying film and for forming a resist underlying film that can be removed by a conventional method employing dry etching, but also by a method employing wet etching using a chemical liquid in a step for processing a semiconductor substrate or the like; and a composition for forming a resist underlying film for lithography and for forming a resist underlying film that has excellent storage stability and produces less residue in a dry etching step. A composition for forming a resist underlying film, the composition including a hydrolysis condensate of a hydrolysable silane mixture containing an alkyltrialkoxy silane and a hydrolysable silane of formula (1), wherein the contained amount of the alkyltrialkoxy silane in the mixture is 0 mol % or more but less than 40 mol % with respect to the total amount by mole of all of the hydrolysable silane contained in the mixture.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
TECHNICAL FIELD

The present invention relates to a resist underlayer film-forming composition, and provides a silicon-containing resist underlayer film-forming composition capable of forming a silicon-containing film which enables formation of a low-roughness pattern through fine patterning, which can be readily peeled with a peeling agent that causes no damage to a semiconductor substrate, a coating-type organic underlayer film necessary for a patterning process, or a CVD film containing carbon as a main component, and which can maintain peelability even after dry etching.

BACKGROUND ART

Fine processing by lithography using photoresists has been conventionally performed in the production of semiconductor devices. The fine processing is a processing method involving formation of a photoresist thin film on a semiconductor substrate (e.g., a silicon wafer); irradiation of the thin film with active rays (e.g., ultraviolet rays) through a mask pattern having a semiconductor device pattern drawn thereon; development of the irradiated thin film; and etching of the substrate with the resultant photoresist pattern serving as a protective film, to thereby form, on the surface of the substrate, fine irregularities corresponding to the pattern. In recent years, active rays having a shorter wavelength have tended to be used (i.e., shifting from KrF excimer laser (248 nm) to ArF excimer laser (193 nm)) in association with an increase in the degree of integration of semiconductor devices. The use of such active rays having a shorter wavelength causes a serious problem in terms of reflection of active rays from a semiconductor substrate. In order to avoid such a problem, there has been widely used a method involving disposing a resist underlayer film called anti-reflective coating (Bottom Anti-Reflective Coating, BARC) between a photoresist and a to-be-processed substrate.

A film known as a hard mask containing a metal element (e.g., silicon or titanium) has been used as the aforementioned underlayer film between a semiconductor substrate and a photoresist. In this case, since the resist and the hard mask have a large difference in their constituent components, the rate of removal thereof by dry etching greatly depends on the type of gas used for dry etching. Appropriate selection of the gas species enables removal of the hard mask by dry etching without a significant reduction in the thickness of the photoresist. Thus, in the recent production of semiconductor devices, a resist underlayer film has been disposed between a semiconductor substrate and a photoresist in order to achieve various effects including an antireflection effect.

Compositions for resist underlayer films have been studied so far, but demand has arisen for the development of a new material for a resist underlayer film in consideration of, for example, various properties required for the film. For example, there have been disclosed a coating-type BPSG (boron phosphorus glass) film-forming composition containing a structure having a specific silicate skeleton, which is aimed at forming a wet-etchable film (Patent Document 1), as well as a silicon-containing resist underlayer film-forming composition containing a carbonyl structure, which is aimed at removing a chemical for mask residue after lithography (Patent Document 2).

PRIOR ART DOCUMENTS Patent Documents

Patent Document 1: JP 2016-74774 A

Patent Document 2: WO 2018/181989

SUMMARY OF THE INVENTION Problems to be Solved by the Invention

In state-of-the-art semiconductor devices, multi-layer processes are often used due to the miniaturization of implant layers. Generally, in such a multi-layer process, the aforementioned dry etching is used for transfer to a lower layer, and final processing of a substrate or removal of a mask residue (e.g., removal of underlayer films including a resist film and a resist underlayer film) after processing of the substrate may also be performed by a dry etching or ashing process. However, such a dry etching or ashing process often causes damage to the substrate, and thus demand has arisen for improvement of the process.

In view of the above-described circumstances, an object of the present invention is to provide a silicon-containing resist underlayer film-forming composition for forming a resist underlayer film that can be peeled not only by a conventional dry etching process, but also by a wet etching process using a chemical such as dilute hydrofluoric acid, buffered hydrofluoric acid, or an alkaline chemical (basic chemical) during processing of a semiconductor substrate, etc. Another object of the present invention is to provide a silicon-containing resist underlayer film-forming composition which exhibits excellent storage stability and is used for forming a resist underlayer film that leaves a small amount of residue in a dry etching process.

Means for Solving the Problems

The present inventors have conducted extensive studies for solving the aforementioned problems, and as a result have found that a film formed from a composition containing a hydrolysis condensate (polysiloxane) prepared from a hydrolyzable silane having a specific structure (e.g., a succinic anhydride skeleton) can be readily removed with a chemical such as an alkaline solution, and have also found that residue removability by dry etching of the aforementioned film can be enhanced by controlling an alkyltrialkoxysilane-derived structure in the aforementioned hydrolysis condensate. The present invention has been accomplished on the basis of these findings.

Accordingly, a first aspect of the present invention is a resist underlayer film-forming composition comprising a hydrolysis condensate of a hydrolyzable silane mixture containing an alkyltrialkoxysilane and a hydrolyzable silane of the following Formula (1):


R1aR2bSi(R3)4−(a+b)   (1)

(wherein R1 is a group bonded to the silicon atom, and is an organic group containing at least one group or skeleton selected from the group consisting of a succinic anhydride skeleton, an alkenyl group, an aryl group, and a group of the following Formula (1-2):

[wherein X101 is any of groups of the following Formulae (1-3) to (1-5), and the carbon atom of the ketone group in each of the following Formulae (1-4) and (1-5) is bonded to the nitrogen atom bonded to R102 in Formula (1-2):

(wherein R103 to R107 are each independently a hydrogen atom, a substitutable alkyl group, a substitutable alkenyl group, or an organic group containing an epoxy group or a sulfonyl group);

R101 is each independently a hydrogen atom, a substitutable alkyl group, a substitutable alkenyl group, or an organic group containing an epoxy group or a sulfonyl group;

R102 is each independently an alkylene group, a hydroxyalkylene group, a sulfide bond (—S—), an ether bond (—O—), or an ester bond ('C(═O)—O— or —O—C(═O)—)];

R2 is a group bonded to the silicon atom, and is each independently a substitutable alkyl group, a substitutable halogenated alkyl group, a substitutable alkoxyalkyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or any combination of these;

R3 is a group or atom bonded to the silicon atom, and is each independently an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom;

a is 1;

b is an integer of 0 to 2; and

4-(a+b) is an integer of 1 to 3), wherein the amount of the alkyltrialkoxysilane contained in the hydrolyzable silane mixture is 0% by mole or more and less than 40% by mole relative to the total amount by mole of all hydrolyzable silanes contained in the hydrolyzable silane mixture.

A second aspect of the present invention is the resist underlayer film-forming composition according to the first aspect, wherein le is a group bonded to the silicon atom, and is an organic group containing at least one group or skeleton selected from the group consisting of a succinic anhydride skeleton, a vinyl group, a phenyl group, and an isocyanurate skeleton.

A third aspect of the present invention is the resist underlayer film-forming composition according to the first or second aspect, wherein the hydrolyzable silane mixture further contains a hydrolyzable silane of the following Formula (2):


R4cSi(R5)4-c   (2)

(wherein R4 is a group bonded to the silicon atom, and is each independently a substitutable alkyl group, a substitutable halogenated alkyl group, a substitutable alkoxyalkyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or any combination of these;

R5 is a group or atom bonded to the silicon atom, and is each independently an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom; and

c is an integer of 0 to 3).

A fourth aspect of the present invention is the resist underlayer film-forming composition according to any one of the first to third aspects, wherein the amount of the compound of Formula (1) contained in the hydrolyzable silane mixture is 5% by mole or more relative to the total amount by mole of all hydrolyzable silanes contained in the hydrolyzable silane mixture.

A fifth aspect of the present invention is the resist underlayer film-forming composition according to the fourth aspect, wherein the compound of Formula (1) comprises a compound wherein le is an organic group containing a succinic anhydride skeleton.

A sixth aspect of the present invention is the resist underlayer film-forming composition according to the fifth aspect, wherein the amount of the compound of Formula (1) wherein le is an organic group containing a succinic anhydride skeleton contained in the hydrolyzable silane mixture is 1% by mole or more relative to the total amount by mole of all hydrolyzable silanes contained in the hydrolyzable silane mixture.

A seventh aspect of the present invention is the resist underlayer film-forming composition according to any one of the first to fifth aspects, wherein the composition has a pH of 2 to 5.

An eighth aspect of the present invention is a pattern formation method comprising: a step of forming an organic underlayer film on a semiconductor substrate; a step of applying, onto the organic underlayer film, the resist underlayer film-forming composition according to any one of the first to seventh aspects, and baking the composition, to thereby form a silicon-containing resist underlayer film;

a step of applying a resist film-forming composition onto the silicon-containing resist underlayer film, to thereby form a resist film;

a step of exposing the resist film to light, and developing the resist film, to thereby form a resist pattern;

a step of etching the silicon-containing resist underlayer film with the resist pattern as a mask; and

a step of etching the organic underlayer film with the patterned silicon-containing resist underlayer film as a mask.

A ninth aspect of the present invention is the pattern formation method according to the eighth aspect, wherein the method further comprises a step of removing the silicon-containing resist underlayer film by a wet process using a chemical after the step of etching the organic underlayer film.

A tenth aspect of the present invention is the pattern formation method according to the ninth aspect, wherein the chemical is a basic chemical.

Effects of the Invention

In the present invention, the resist underlayer film-forming composition contains, as one component, a hydrolysis condensate prepared from a hydrolyzable silane; specifically, a silane compound having a specific structure containing a succinic anhydride skeleton, an alkenyl group such as a vinyl group, an aryl group such as a phenyl group, or an isocyanurate skeleton. Thus, even when the film formed from the composition is a silicon-containing film, the film can be readily removed by a wet process (i.e., the removability of the film can be enhanced). In particular, when the composition contains, as one component, a hydrolysis condensate prepared from a hydrolyzable silane compound containing a succinic anhydride skeleton, the removability (by a wet process) of a film formed from the composition can be further enhanced.

Therefore, when the resist underlayer film-forming composition of the present invention is used for pattern formation (with a photoresist film, etc.) or processing of a semiconductor substrate, etc., a mask residue (e.g., underlayer films including a resist film and a resist underlayer film) can be readily removed with a chemical after the processing, and thus a semiconductor device with reduced damage to the substrate can be produced.

According to the present invention, when a film formed from the composition containing the aforementioned hydrolysis condensate is subjected to dry etching, residue removability through the etching of the film can be enhanced by controlling the amount of an alkyltrialkoxysilane-derived structure in the hydrolysis condensate.

Furthermore, according to the present invention, a precursor solution containing the hydrolysis condensate and exhibiting excellent storage stability can be achieved by adjusting the pH of the resist underlayer film-forming composition, for example, by adjusting the pH of the composition to fall within a specific range with use of nitric acid as a hydrolysis catalyst during production of the hydrolysis condensate, and thus the resultant resist underlayer film-forming composition exhibits excellent storage stability.

Modes for Carrying out the Invention

The present invention is directed to a composition for forming a silicon-containing resist underlayer film that can be peeled by a wet process. The composition is characterized by containing a product (hydrolysis condensate) prepared by hydrolysis and condensation of a hydrolyzable silane mixture containing a hydrolyzable silane having a specific structure.

[Hydrolysis Condensate of Hydrolyzable Silane Mixture]

The resist underlayer film-forming composition of the present invention contains a hydrolysis condensate of a hydrolyzable silane mixture.

In the present invention, the hydrolysis condensate includes a polyorganosiloxane polymer which is a condensate prepared through complete condensation, and a polyorganosiloxane polymer which is a partial hydrolysis condensate prepared through incomplete condensation. Such a partial hydrolysis condensate is a polymer prepared through hydrolysis and condensation of a hydrolyzable silane compound, as in the case of a condensate prepared through complete condensation. However, the partial hydrolysis condensate contains remaining Si—OH groups, due to partial or incomplete hydrolysis and condensation of the silane compound. The resist underlayer film-forming composition of the present invention may contain, besides the hydrolysis condensate, an uncondensed hydrolysate (complete hydrolysate or partial hydrolysate) or a remaining monomer (hydrolyzable silane compound).

In the present specification, “hydrolyzable silane” may be referred to simply as “silane compound.” As described below, the aforementioned hydrolyzable silane mixture contains a hydrolyzable silane of the following Formula (1), and may contain, as appropriate, an additional hydrolyzable silane such as tetraalkoxysilane or alkyltrialkoxysilane. When the hydrolyzable silane mixture contains an alkyltrialkoxysilane as an additional silane compound, the amount of the alkyltrialkoxysilane is less than 40% by mole relative to the total amount by mole (100% by mole) of all silane compounds contained in the hydrolyzable silane mixture; i.e., the amount of the alkyltrialkoxysilane contained in the mixture is 0% by mole or more and less than 40% by mole. The present inventors have first found that the percent removal of etching residue can be regulated by controlling the amount of the alkyltrialkoxysilane.

[Silane Compound (Hydrolyzable Silane) of Formula (1)] The hydrolysis condensate used in the resist underlayer film-forming composition of the present invention may be a product prepared by hydrolysis and condensation of a hydrolyzable silane mixture containing a silane compound of the following Formula (1).


R1aR2bSi(R3)4−(a+b)   (1)

R1 is a group bonded to the silicon atom, and is an organic group containing at least one group or skeleton selected from the group consisting of a succinic anhydride skeleton, an alkenyl group, an aryl group, and a group of Formula (1-2) described below.

No particular limitation is imposed on the organic group of R1, so long as the organic group contains the aforementioned skeleton or group.

R1 may be a group containing plural types and/or plural numbers of alkenyl groups, aryl groups, and groups of Formula (1-2). In such a case, the aforementioned group or skeleton may be substituted with the same or different types of the aforementioned group or skeleton.

The group containing a succinic anhydride skeleton, an alkenyl group, an aryl group, and a group of Formula (1-2) excludes a group prepared by substitution of a hydrogen atom of an alkoxy group, aralkyloxy group, or acyloxy group directly bonded to the silicon atom with the aforementioned group or skeleton, an onium salt stricture described below as, for example, onium salt-containing compounds (see, for example, compounds of Formulae (I-1) to (1-50), Formulae (II-1) to (11-30), and Formulae (III-1) to (III-28)), and a group containing a sulfone group or a sulfonamide group described below as a group containing an aryl group (see, for example, compounds of Formulae (B-1) to (B-36)).

Each of the succinic anhydride skeleton, alkenyl group, aryl group, and group of Formula (1-2) may be not only the skeleton or group per se, but also, for example, an organic group prepared by substitution of one or more hydrogen atoms of an alkyl group with at least one selected from the group consisting of the succinic anhydride skeleton, alkenyl group, aryl group, and group of Formula (1-2).

No particular limitation is imposed on the alkyl group wherein a hydrogen atom is substituted with, for example, the aforementioned succinic anhydride skeleton. The alkyl group may have a linear, branched, or cyclic structure. The carbon atom number of the alkyl group is generally 40 or less, and may be, for example, 30 or less, or 20 or less, or 10 or less.

Specific examples of the linear or branched alkyl group include, but are not limited to, methyl group, ethyl group, n-propyl group, i-propyl group, n-butyl group, i-butyl group, s-butyl group, t-butyl group, n-pentyl group, 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3-methyl-n-butyl group, 1,1-dimethyl-n-propyl group, 1,2-dimethyl-n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, n-hexyl group, 1-methyl-n-pentyl group, 2-methyl-n-pentyl group, 3-methyl-n-pentyl group, 4-methyl-n-pentyl group, 1,1-dimethyl-n-butyl group, 1,2-dimethyl-n-butyl group, 1,3-dimethyl-n-butyl group, 2,2-dimethyl-n-butyl group, 2,3-dimethyl-n-butyl group, 3,3-dimethyl-n-butyl group, 1-ethyl-n-butyl group, 2-ethyl-n-butyl group, 1,1,2-trimethyl-n-propyl group, 1,2,2-trimethyl-n-propyl group, 1-ethyl-1-methyl-n-propyl group, and 1-ethyl-2-methyl-n-propyl group.

Specific examples of the cyclic alkyl group include, but are not limited to, cycloalkyl groups, such as cyclopropyl group, cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group, cyclopentyl group, 1-methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2-ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group, 1,2-dimethyl-cyclobutyl group, 1,3-dimethyl-cyclobutyl group, 2,2-dimethyl-cyclobutyl group, 2,3-dimethyl-cyclobutyl group, 2,4-dimethyl-cyclobutyl group, 3,3-dimethyl-cyclobutyl group, 1-n-propyl-cyclopropyl group, 2-n-propyl-cyclopropyl group, 1-i-propyl-cyclopropyl group, 2-i-propyl-cyclopropyl group, 1,2,2-trimethyl-cyclopropyl group, 1,2,3-trimethyl-cyclopropyl group, 2,2,3-trimethyl-cyclopropyl group, 1-ethyl-2-methyl-cyclopropyl group, 2-ethyl-1-methyl-cyclopropyl group, 2-ethyl-2-methyl-cyclopropyl group, and 2-ethyl-3-methyl-cyclopropyl group; and bicycloalkyl groups, such as bicyclobutyl group, bicyclopentyl group, bicyclohexyl group, bicycloheptyl group, bicyclooctyl group, bicyclononyl group, and bicyclodecyl group.

The alkenyl group of le may be a substitutable alkenyl group, and may be, for example, a C2-10 alkenyl group. Specific examples of the alkenyl group include ethenyl group (vinyl group), 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group, 2-methyl-1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group, 1-pentenyl group, 2-pentenyl group, 3-pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1-methyl-3-butenyl group, 2-ethyl-2-propenyl group, 2-methyl-1-butenyl group, 2-methyl-2-butenyl group, 2-methyl-3-butenyl group, 3-methyl-1-butenyl group, 3-methyl-2-butenyl group, 3-methyl-3-butenyl group, 1,1-dimethyl-2-propenyl group, 1-i-propylethenyl group, 1,2-dimethyl-1-propenyl group, 1,2-dimethyl-2-propenyl group, 1-cyclopentenyl group, 2-cyclopentenyl group, 3-cyclopentenyl group, 1-hexenyl group, 2-hexenyl group, 3-hexenyl group, 4-hexenyl group, 5-hexenyl group, 1-methyl-1-pentenyl group, 1-methyl-2-pentenyl group, 1-methyl-3-pentenyl group, 1-methyl-4-pentenyl group, 1-n-butylethenyl group, 2-methyl-1-pentenyl group, 2-methyl-2-pentenyl group, 2-methyl-3-pentenyl group, 2-methyl-4-pentenyl group, 2-n-propyl-2-propenyl group, 3-methyl-1-pentenyl group, 3-methyl-2-pentenyl group, 3-methyl-3-pentenyl group, 3-methyl-4-pentenyl group, 3-ethyl-3-butenyl group, 4-methyl-1-pentenyl group, 4-methyl-2-pentenyl group, 4-methyl-3-pentenyl group, 4-methyl-4-pentenyl group, 1,1-dimethyl-2-butenyl group, 1,1-dimethyl-3-butenyl group, 1,2-dimethyl-1-butenyl group, 1,2-dimethyl-2-butenyl group, 1,2-dimethyl-3-butenyl group, 1-methyl-2-ethyl-2-propenyl group, 1-s-butylethenyl group, 1,3-dimethyl-1-butenyl group, 1,3-dimethyl-2-butenyl group, 1,3-dimethyl-3-butenyl group, 1-i-butylethenyl group, 2,2-dimethyl-3-butenyl group, 2,3-dimethyl-1-butenyl group, 2,3-dimethyl-2-butenyl group, 2,3-dimethyl-3-butenyl group, 2-i-propyl-2-propenyl group, 3,3-dimethyl-1-butenyl group, 1-ethyl-1-butenyl group, 1-ethyl-2-butenyl group, 1-ethyl-3-butenyl group, 1-n-propyl-1-propenyl group, 1-n-propyl-2-propenyl group, 2-ethyl-1-butenyl group, 2-ethyl-2-butenyl group, 2-ethyl-3-butenyl group, 1,1,2-trimethyl-2-propenyl group, 1-t-butylethenyl group, 1-methyl-1-ethyl-2-propenyl group, 1-ethyl-2-methyl-1-propenyl group, 1-ethyl-2-methyl-2-propenyl group, 1-i-propyl-1-propenyl group, 1-i-propyl-2-propenyl group, 1-methyl-2-cyclopentenyl group, 1-methyl-3-cyclopentenyl group, 2-methyl-1-cyclopentenyl group, 2-methyl-2-cyclopentenyl group, 2-methyl-3-cyclopentenyl group, 2-methyl-4-cyclopentenyl group, 2-methyl-5-cyclopentenyl group, 2-methylene-cyclopentyl group, 3-methyl-1-cyclopentenyl group, 3-methyl-2-cyclopentenyl group, 3-methyl-3-cyclopentenyl group, 3-methyl-4-cyclopentenyl group, 3-methyl-5-cyclopentenyl group, 3-methylene-cyclopentyl group, 1-cyclohexenyl group, 2-cyclohexenyl group, and 3-cyclohexenyl group. Other examples of the alkenyl group include cross-linked cyclic alkenyl groups such as bicycloheptenyl group (norbornyl group).

The aryl group of R1 may be a substitutable aryl group, and may be, for example, a C6-C20 aryl group. Specific examples of the aryl group include phenyl group, o-methylphenyl group, m-methylphenyl group, p-methylphenyl group, o-chlorophenyl group, m-chlorophenyl group, p-chlorophenyl group, o-fluorophenyl group, p-mercaptophenyl group, o-methoxyphenyl group, p-methoxyphenyl group, p-aminophenyl group, p-cyanophenyl group, a-naphthyl group, 13-naphthyl group, o-biphenylyl group, m-biphenylyl group, p-biphenylyl group, 1-anthryl group, 2-anthryl group, 9-anthryl group, 1-phenanthryl group, 2-phenanthryl group, 3-phenanthryl group, 4-phenanthryl group, and 9-phenanthryl group.

The aforementioned aryl-group-containing group may be, for example, a substitutable aralkyl group, a substitutable halogenated aryl group, a substitutable halogenated aralkyl group, a substitutable alkoxyaryl group, or a substitutable alkoxyalkyl group.

The aralkyl group is an alkyl group substituted with an aryl group, and specific examples of the aryl group and the alkyl group are the same as those described above.

No particular limitation is imposed on the carbon atom number of the aralkyl group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less.

Specific examples of the aralkyl group include, but are not limited to, phenylmethyl group (benzyl group), 2-phenylethylene group, 3-phenyl-n-propyl group, 4-phenyl-n-butyl group, 5-phenyl-n-pentyl group, 6-phenyl-n-hexyl group, 7-phenyl-n-heptyl group, 8-phenyl-n-octyl group, 9-phenyl-n-nonyl group, and 10-phenyl-n-decyl group.

The halogenated aryl group is an aryl group substituted with a halogen atom, and specific examples of the aryl group are the same as those described above.

Examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom.

No particular limitation is imposed on the carbon atom number of the halogenated aryl group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less.

Specific examples of the halogenated aryl group include, but are not limited to, 2-fluorophenyl group, 3-fluorophenyl group, 4-fluorophenyl group, 2,3-difluorophenyl group, 2,4-difluorophenyl group, 2,5-difluorophenyl group, 2,6-difluorophenyl group, 3,4-difluorophenyl group, 3,5-difluorophenyl group, 2,3,4-trifluorophenyl group, 2,3,5-trifluorophenyl group, 2,3,6-trifluorophenyl group, 2,4,5-trifluorophenyl group, 2,4,6-trifluorophenyl group, 3,4,5-trifluorophenyl group, 2,3,4,5-tetrafluorophenyl group, 2,3,4,6-tetrafluorophenyl group, 2,3,5,6-tetrafluorophenyl group, pentafluorophenyl group, 2-fluoro-1-naphthyl group, 3-fluoro-1-naphthyl group, 4-fluoro-1-naphthyl group, 6-fluoro-1-naphthyl group, 7-fluoro-1-naphthyl group, 8-fluoro-1-naphthyl group, 4,5-difluoro-1-naphthyl group, 5,7-difluoro-1-naphthyl group, 5,8-difluoro-1-naphthyl group, 5,6,7,8-tetrafluoro-1-naphthyl group, heptafluoro-1-naphthyl group, 1-fluoro-2-naphthyl group, 5-fluoro-2-naphthyl group, 6-fluoro-2-naphthyl group, 7-fluoro-2-naphthyl group, 5,7-difluoro-2-naphthyl group, and heptafluoro-2-naphthyl group.

The halogenated aralkyl group is an aralkyl group substituted with a halogen atom, and specific examples of the aralkyl group and the halogen atom are the same as those described above.

No particular limitation is imposed on the carbon atom number of the halogenated aralkyl group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less.

Specific examples of the halogenated aralkyl group include, but are not limited to, 2-fluorobenzyl group, 3-fluorobenzyl group, 4-fluorobenzyl group, 2,3-difluorobenzyl group, 2,4-difluorobenzyl group, 2,5-difluorobenzyl group, 2,6-difluorobenzyl group, 3,4-difluorobenzyl group, 3,5-difluorobenzyl group, 2,3,4-trifluorobenzyl group, 2,3,5-trifluorobenzyl group, 2,3,6-trifluorobenzyl group, 2,4,5-trifluorobenzyl group, 2,4,6-trifluorobenzyl group, 2,3,4,5-tetrafluorobenzyl group, 2,3,4,6-tetrafluorobenzyl group, 2,3,5,6-tetrafluorobenzyl group, and 2,3,4,5,6-pentafluorobenzyl group.

The alkoxyaryl group is an aryl group substituted with an alkoxy group, and specific examples of the aryl group are the same as those described above.

The alkoxy group may be, for example, an alkoxy group having a linear, branched, or cyclic alkyl moiety having a carbon atom number of 1 to 20. Examples of the linear or branched alkoxy group include methoxy group, ethoxy group, n-propoxy group, i-propoxy group, n-butoxy group, i-butoxy group, s-butoxy group, t-butoxy group, n-pentyloxy group, 1-methyl-n-butoxy group, 2-methyl-n-butoxy group, 3-methyl-n-butoxy group, 1,1-dimethyl-n-propoxy group, 1,2-dimethyl-n-propoxy group, 2,2-dimethyl-n-propoxy group, 1-ethyl-n-propoxy group, n-hexyloxy group, 1-methyl-n-pentyloxy group, 2-methyl-n-pentyloxy group, 3-methyl-n-pentyloxy group, 4-methyl-n-pentyloxy group, 1,1-dimethyl-n-butoxy group, 1,2-dimethyl-n-butoxy group, 1,3-dimethyl-n-butoxy group, 2,2-dimethyl-n-butoxy group, 2,3-dimethyl-n-butoxy group, 3,3-dimethyl-n-butoxy group, 1-ethyl-n-butoxy group, 2-ethyl-n-butoxy group, 1,1,2-trimethyl-n-propoxy group, 1,2,2-trimethyl-n-propoxy group, 1-ethyl-1-methyl-n-propoxy group, and 1-ethyl-2-methyl-n-propoxy group. Examples of the cyclic alkoxy group include, but are not limited to, cyclopropoxy group, cyclobutoxy group, 1-methyl-cyclopropoxy group, 2-methyl-cyclopropoxy group, cyclopentyloxy group, 1-methyl-cyclobutoxy group, 2-methyl-cyclobutoxy group, 3-methyl-cyclobutoxy group, 1,2-dimethyl-cyclopropoxy group, 2,3-dimethyl-cyclopropoxy group, 1-ethyl-cyclopropoxy group, 2-ethyl-cyclopropoxy group, cyclohexyloxy group, 1-methyl-cyclopentyloxy group, 2-methyl-cyclopentyloxy group, 3-methyl-cyclopentyloxy group, 1-ethyl-cyclobutoxy group, 2-ethyl-cyclobutoxy group, 3-ethyl-cyclobutoxy group, 1,2-dimethyl-cyclobutoxy group, 1,3-dimethyl-cyclobutoxy group, 2,2-dimethyl-cyclobutoxy group, 2,3-dimethyl-cyclobutoxy group, 2,4-dimethyl-cyclobutoxy group, 3,3-dimethyl-cyclobutoxy group, 1-n-propyl-cyclopropoxy group, 2-n-propyl-cyclopropoxy group, 1-i-propyl-cyclopropoxy group, 2-i-propyl-cyclopropoxy group, 1,2,2-trimethyl-cyclopropoxy group, 1,2,3-trimethyl-cyclopropoxy group, 2,2,3-trimethyl-cyclopropoxy group, 1-ethyl-2-methyl-cyclopropoxy group, 2-ethyl-1-methyl-cyclopropoxy group, 2-ethyl-2-methyl-cyclopropoxy group, and 2-ethyl-3-methyl-cyclopropoxy group.

No particular limitation is imposed on the carbon atom number of the alkoxyaryl group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less.

Specific examples of the alkoxyaryl group include, but are not limited to, 2-methoxyphenyl group, 3-methoxyphenyl group, 4-methoxyphenyl group, 2-(1-ethoxy)phenyl group, 3-(1-ethoxy)phenyl group, 4-(1-ethoxy)phenyl group, 2-(2-ethoxy)phenyl group, 3-(2-ethoxy)phenyl group, 4-(2-ethoxy)phenyl group, 2-methoxynaphthalen-1-yl group, 3-methoxynaphthalen-1-yl group, 4-methoxynaphthalen-1-yl group, 5-methoxynaphthalen-1-yl group, 6-methoxynaphthalen-1-yl group, and 7-methoxynaphthalen-1-yl group.

The alkoxyaralkyl group is an aralkyl group substituted with an alkoxy group, and specific examples of the alkoxy group and the aralkyl group are the same as those described above.

No particular limitation is imposed on the carbon atom number of the alkoxyaralkyl group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less.

Specific examples of the alkoxyaralkyl group include, but are not limited to, 3-(methoxyphenyl)benzyl group and 4-(methoxyphenyl)benzyl group.

In a group of the following Formula (1-2):

X101 is each independently any of groups of the following Formulae (1-3) to (1-5), and the carbon atom of the ketone group in each of the following Formulae (1-4) and (1-5) is bonded to the nitrogen atom bonded to R102 in Formula (1-2).

In Formulae (1-3) to (1-5), R103 to R107 are each independently a hydrogen atom, a substitutable alkyl group, a substitutable alkenyl group, or an organic group containing an epoxy group or a sulfonyl group. Specific examples of the substitutable alkyl group or the substitutable alkenyl group, and preferred carbon atom numbers thereof are the same as those described above regarding le in terms of the alkyl group wherein a hydrogen atom is substituted with, for example, a succinic anhydride skeleton or the alkenyl group. Examples of the organic group containing an epoxy group include, but are not limited to, glycidoxymethyl group, glycidoxyethyl group, glycidoxypropyl group, glycidoxybutyl group, and epoxycyclohexyl group.

Examples of the organic group containing a sulfonyl group include, but are not limited to, sulfonylalkyl group and sulfonylaryl group.

In Formula (1-2), R101 is each independently a hydrogen atom, a substitutable alkyl group, a substitutable alkenyl group, or an organic group containing an epoxy group or a sulfonyl group; and R102 is each independently an alkylene group, a hydroxyalkylene group, a sulfide bond (—S—), an ether bond (—O—), or an ester bond (—C(═O)—O— or —O—C(═O)—).

Specific examples of the substitutable alkyl group, the substitutable alkenyl group, or the organic group containing an epoxy group or an epoxy group, and preferred carbon atom numbers thereof are the same as those described above regarding R103 to R107. Besides these, the substitutable alkyl group is preferably an alkyl group wherein the terminal hydrogen atom is substituted with a vinyl group. Specific examples thereof include allyl group, 2-vinylethyl group, 3-vinylpropyl group, and 4-vinylbutyl group.

The alkylene group is a divalent group derived from the aforementioned alkyl group through removal of one hydrogen atom, and may have a linear, branched, or cyclic structure. Specific examples of the alkylene group are the same as those described above. No particular limitation is imposed on the carbon atom number of the alkylene group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, much more preferably 10 or less.

The alkylene group of R102 may have one or more selected from among a sulfide bond, an ether bond, and an ester bond at an end or middle portion (preferably at a middle portion) of the alkylene group.

Specific examples of the alkylene group include, but are not limited to, linear alkylene groups, such as methylene group, ethylene group, trimethylene group, methylethylene, tetramethylene group, pentamethylene group, hexamethylene group, heptamethylene group, octamethylene group, nonamethylene group, and decamethylene group; branched alkylene groups, such as 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1,2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, and 1-ethyltrimethylene group; cyclic alkylene groups, such as 1,2-cyclopropanediyl group, 1,2-cyclobutanediyl group, 1,3-cyclobutanediyl group, 1,2-cyclohexanediyl group, and 1,3-cyclohexanediyl group; and alkylene groups containing an ether group, etc. such as —CH2OCH2—, —CH2CH2OCH2—, —CH2CH2OCH2CH2—, —CH2CH2CH2OCH2CH2—, —CH2CH2OCH2CH2CH2—, —CH2CH2CH2OCH2CH2CH2—, —CH2SCH2—, —CH2CH2SCH2—, —CH2CH2SCH2CH2—, —CH2CH2CH2SCH2CH2—, —CH2CH2SCH2CH2CH2—, —CH2CH2CH2SCH2CH2CH2—, and -CH2OCH2CH2SCH2—.

The hydroxyalkylene group acid is prepared by substitution of at least one hydrogen atom of the aforementioned alkylene group with a hydroxy group. Specific examples of the hydroxyalkylene group include, but are not limited to, hydroxymethylene group, 1-hydroxyethylene group, 2-hydroxyethylene group, 1,2-dihydroxyethylene group, 1-hydroxytrimethylene group, 2-hydroxytrimethylene group, 3-hydroxytrimethylene group, 1-hydroxytetramethylene group, 2-hydroxytetramethylene group, 3-hydroxytetramethylene group, 4-hydroxytetramethylene group, 1,2-dihydroxytetramethylene group, 1,3-dihydroxytetramethylene group, 1,4-dihydroxytetramethylene group, 2,3-dihydroxytetramethylene group, 2,4-dihydroxytetramethylene group, and 4,4-dihydroxytetramethylene group.

Among the aforementioned ones, le is preferably a group containing at least one selected from the group consisting of a succinic anhydride skeleton, a vinyl group, a phenyl group, and an isocyanurate skeleton (i.e., a group of Formula (1-2) wherein X101 is a group of Formula (1-5)).

In Formula (1), le is a group bonded to the silicon atom, and is each independently a substitutable alkyl group, a substitutable halogenated alkyl group, a substitutable alkoxyalkyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or any combination of these.

In the present invention, the CH2═CH— structure contained in an acryloyl group is distinguished from a vinyl group defined in R1.

The alkyl group may be, for example, a linear or branched alkyl group having a carbon atom number of 1 to 10. Examples of the alkyl group include methyl group, ethyl group, n-propyl group, i-propyl group, n-butyl group, i-butyl group, s-butyl group, t-butyl group, n-pentyl group, 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3-methyl-n-butyl group, 1,1-dimethyl-n-propyl group, 1,2-dimethyl-n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, n-hexyl group, 1-methyl-n-pentyl group, 2-methyl-n-pentyl group, 3-methyl-n-pentyl group, 4-methyl-n-pentyl group, 1,1-dimethyl-n-butyl group, 1,2-dimethyl-n-butyl group, 1,3-dimethyl-n-butyl group, 2,2-dimethyl-n-butyl group, 2,3-dimethyl-n-butyl group, 3,3-dimethyl-n-butyl group, 1-ethyl-n-butyl group, 2-ethyl-n-butyl group, 1,1,2-trimethyl-n-propyl group, 1,2,2-trimethyl-n-propyl group, 1-ethyl-1-methyl-n-propyl group, and 1-ethyl-2-methyl-n-propyl group.

The alkyl group may be a cyclic alkyl group. Examples of the cyclic alkyl group having a carbon atom number of 3 to 10 include cyclopropyl group, cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group, cyclopentyl group, 1-methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2-ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group, 1,2-dimethyl-cyclobutyl group, 1,3-dimethyl-cyclobutyl group, 2,2-dimethyl-cyclobutyl group, 2,3-dimethyl-cyclobutyl group, 2,4-dimethyl-cyclobutyl group, 3,3-dimethyl-cyclobutyl group, 1-n-propyl-cyclopropyl group, 2-n-propyl-cyclopropyl group, 1-i-propyl-cyclopropyl group, 2-i-propyl-cyclopropyl group, 1,2,2-trimethyl-cyclopropyl group, 1,2,3-trimethyl-cyclopropyl group, 2,2,3-trimethyl-cyclopropyl group, 1-ethyl-2-methyl-cyclopropyl group, 2-ethyl-1-methyl-cyclopropyl group, 2-ethyl-2-methyl-cyclopropyl group, and 2-ethyl-3-methyl-cyclopropyl group

The halogenated alkyl group is an alkyl group substituted with a halogen atom.

Examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom, and specific examples of the alkyl group are the same as those described above.

No particular limitation is imposed on the carbon atom number of the halogenated alkyl group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, much more preferably 10 or less. Specific examples of the halogenated alkyl group include, but are not limited to, monofluoromethyl group, difluoromethyl group, trifluoromethyl group, bromodifluoromethyl group, 2-chloroethyl group, 2-bromoethyl group, 1,1-difluoroethyl group, 2,2,2-trifluoroethyl group, 1,1,2,2-tetrafluoroethyl group, 2-chloro-1,1,2-trifluoroethyl group, pentafluoroethyl group, 3-bromopropyl group, 2,2,3,3-tetrafluoropropyl group, 1,1,2,3,3,3-hexafluoropropyl group, 1,1,1,3,3,3-hexafluoropropan-2-yl group, 3-bromo-2-methylpropyl group, 4-bromobutyl group, and perfluoropentyl group.

The alkoxyalkyl group is an alkyl group substituted with an alkoxy group. Specific examples of the alkyl group and the alkoxy group are the same as those described above.

No particular limitation is imposed on the carbon atom number of the alkoxyalkyl group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, much more preferably 10 or less. Specific examples of the alkoxyalkyl group include, but are not limited to, lower alkyloxy lower alkyl groups, such as methoxymethyl group, ethoxymethyl group, 1-ethoxyethyl group, 2-ethoxyethyl group, and ethoxymethyl group.

Examples of the substituent of the aforementioned alkyl group, halogenated alkyl group, or alkoxyalkyl group include an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkoxyalkyl group, an aryloxy group, an alkoxyaryl group, an alkoxyaralkyl group, an alkenyl group, an alkoxy group, and an aralkyloxy group. Of these, specific examples of the alkyl group, the aryl group, the aralkyl group, the halogenated alkyl group, the halogenated aryl group, the halogenated aralkyl group, the alkoxyalkyl group, the alkoxyaryl group, the alkoxyaralkyl group, the alkenyl group, the alkoxy group, and the aralkyloxy group, and preferred carbon atom numbers thereof are the same as those described above.

The aryloxy group described above as the substituent is a group wherein an aryl group is bonded to another group via an oxygen atom (—O—), and specific examples of the aryl group are the same as those described above. No particular limitation is imposed on the carbon atom number of the aryloxy group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less. Specific examples of the aryloxy group include, but are not limited to, phenoxy group and naphthalen-2-yloxy group.

When two or more substituents are present, the substituents may be bonded together to form a ring.

Examples of the organic group containing an epoxy group include, but are not limited to, glycidoxymethyl group, glycidoxyethyl group, glycidoxypropyl group, glycidoxybutyl group, and epoxycyclohexyl group described above.

Examples of the organic group containing an acryloyl group include, but are not limited to, acryloylmethyl group, acryloylethyl group, and acryloylpropyl group.

Examples of the organic group containing a methacryloyl group include, but are not limited to, methacryloylmethyl group, methacryloylethyl group, and methacryloylpropyl group.

Examples of the organic group containing a mercapto group include, but are not limited to, ethylmercapto group, butylmercapto group, hexylmercapto group, and octylmercapto group.

Examples of the organic group containing an amino group include, but are not limited to, amino group, aminomethyl group, aminoethyl group, dimethylaminoethyl group, and dimethylaminopropyl group.

Examples of the organic group containing an alkoxy group include, but are not limited to, methoxymethyl group and methoxyethyl group. However, the organic group excludes a group wherein an alkoxy group is directly bonded to the silicon atom. Examples of the organic group containing a sulfonyl group include, but are not limited to, sulfonylalkyl group and sulfonylaryl group described above.

Examples of the organic group containing a cyano group include, but are not limited to, cyanoethyl group and cyanopropyl group.

In Formula (1), R3 is a group or atom bonded to the silicon atom, and is each independently an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom. Examples of the alkoxy group and the halogen atom are the same as those described above.

The aralkyloxy group is a group derived from an aralkyl alcohol through removal of a hydrogen atom from the hydroxy group of the alcohol. Specific examples of the aralkyl group are the same as those described above.

No particular limitation is imposed on the carbon atom number of the aralkyloxy group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less.

Specific examples of the aralkyloxy group include, but are not limited to, phenylmethyloxy group (benzyloxy group), 2-phenylethyleneoxy group, 3-phenyl-n-propyloxy group, 4-phenyl-n-butyloxy group, 5-phenyl-n-pentyloxy group, 6-phenyl-n-hexyloxy group, 7-phenyl-n-heptyloxy group, 8-phenyl-n-octyloxy group, 9-phenyl-n-nonyloxy group, and 10-phenyl-n-decyloxy group.

The acyloxy group is a group derived from a carboxylic compound through removal of a hydrogen atom from the carboxy group of the compound. Typical examples of the acyloxy group include, but are not limited to, an alkylcarbonyloxy group, an arylcarbonyloxy group, or an aralkylcarbonyloxy group, which is respectively derived from an alkylcarboxylic acid, an arylcarboxylic acid, or an aralkylcarboxylic acid through removal of a hydrogen atom from the carboxy group of the acid. Specific examples of the alkyl group, the aryl group, and the aralkyl group of such alkylcarboxylic acid, arylcarboxylic acid, and aralkylcarboxylic acid are the same as those described above.

Specific examples of the acyloxy group include, but are not limited to, C2-20 acyloxy groups, such as methylcarbonyloxy group, ethylcarbonyloxy group, n-propylcarbonyloxy group, i-propylcarbonyloxy group, n-butylcarbonyloxy group, i-butylcarbonyloxy group, s-butylcarbonyloxy group, t-butylcarbonyloxy group, n-pentylcarbonyloxy group, 1-methyl-n-butylcarbonyloxy group, 2-methyl-n-butylcarbonyloxy group, 3-methyl-n-butylcarbonyloxy group, 1,1-dimethyl-n-propylcarbonyloxy group, 1,2-dimethyl-n-propylcarbonyloxy group, 2,2-dimethyl-n-propylcarbonyloxy group, 1-ethyl-n-propylcarbonyloxy group, n-hexylcarbonyloxy group, 1-methyl-n-pentylcarbonyloxy group, 2-methyl-n-pentylcarbonyloxy group, 3-methyl-n-pentylcarbonyloxy group, 4-methyl-n-pentylcarbonyloxy group, 1,1-dimethyl-n-butylcarbonyloxy group, 1,2-dimethyl-n-butylcarbonyloxy group, 1,3-dimethyl-n-butylcarbonyloxy group, 2,2-dimethyl-n-butylcarbonyloxy group, 2,3-dimethyl-n-butylcarbonyloxy group, 3,3-dimethyl-n-butylcarbonyloxy group, 1-ethyl-n-butylcarbonyloxy group, 2-ethyl-n-butylcarbonyloxy group, 1,1,2-trimethyl-n-propylcarbonyloxy group, 1,2,2-trimethyl-n-propylcarbonyloxy group, 1-ethyl-1-methyl-n-propylcarbonyloxy group, 1-ethyl-2-methyl-n-propylcarbonyloxy group, phenylcarbonyloxy group, and tosylcarbonyloxy group.

In Formula (1), a is 1, b is an integer of 0 to 2, and 4−(a+b) is an integer of 1 to 3.

In this case, b is preferably 0 or 1, more preferably 0.

Specific examples of the compound of Formula (1) include silane compounds containing a succinic anhydride skeleton, such as [(3-trimethoxysilyl)propyl]succinic anhydride, [(3-triethoxysilyl)propyl]succinic anhydride, [(3-trimethoxysilyl)ethyl]succinic anhydride, and [(3-trimethoxysilyl)butyl]succinic anhydride; silane compounds containing an alkenyl group (vinyl group), such as vinyltrimethoxysilane, vinyltriethoxysilane, vinyltrichlorosilane, vinyltriacetoxysilane, methylvinyldimethoxysilane, methylvinyldiethoxysilane, methylvinyldichlorosilane, methylvinyldiacetoxysilane, dimethylvinylmethoxysilane, dimethylvinylethoxysilane, dimethylvinylchlorosilane, dimethylvinylacetoxysilane, divinyldimethoxysilane, divinyldiethoxysilane, divinyldichlorosilane, divinyldiacetoxysilane, γ-glycidoxypropylvinyldimethoxysilane, γ-glycidoxypropylvinyldiethoxysilane, allyltrimethoxysilane, allyltriethoxysilane, allyltrichlorosilane, allyltriacetoxysilane, allylmethyldimethoxysilane, allylmethyldiethoxysilane, allylmethyldichlorosilane, allylmethyldiacetoxysilane, allyldimethylmethoxysilane, allyldimethylethoxysilane, allyl dimethylchlorosilane, allyldimethylacetoxysilane, diallyldimethoxysilane, diallyldiethoxysilane, diallyldichlorosilane, diallyldiacetoxysilane, 3-allylaminopropyltrimethoxysilane, 3-allylaminopropyltriethoxysilane, and p-styryltrimethoxysilane; silane compounds containing a phenyl group, such as phenyltrimethoxysilane, phenyltriethoxysilane, phenyltrichlorosilane, phenyltriacetoxysilane, phenylmethyldimethoxysilane, phenylmethyldiethoxysilane, phenylmethyldichlorosilane, phenylmethyldiacetoxysilane, phenyldimethylmethoxysilane, phenyldimethylethoxysilane, phenyldimethylchlorosilane, phenyldimethylacetoxysilane, diphenylmethylmethoxysilane, diphenylmethylethoxysilane, diphenylmethylchlorosilane, diphenylmethylacetoxysilane, diphenyldimethoxysilane, diphenyldiethoxysilane, diphenyldichlorosilane, diphenyldiacetoxysilane, triphenylmethoxysilane, triphenylethoxysilane, triphenylacetoxysilane, triphenylchlorosilane, 3-phenylaminopropyltrimethoxysilane, 3-phenylaminopropyltriethoxysilane, dimethoxymethyl-3-(3-phenoxypropylthiopropyl)silane, benzyltrimethoxysilane, benzyltriethoxysilane, benzylmethyldimethoxysilane, benzylmethyldiethoxysilane, benzyldimethylmethoxysilane, benzyldimethylethoxysilane, benzyldimethylchlorosilane, phenethyltrimethoxysilane, phenethyltriethoxysilane, phenethyltrichlorosilane, phenethyltriacetoxysilane, phenethylmethyldimethoxysilane, phenethylmethyldiethoxysilane, phenethylmethyldichlorosilane, and phenethylmethyldiacetoxysilane; and silane compounds containing a substituted aryl group, such as methoxyphenyltrimethoxysilane, methoxyphenyltriethoxysilane, methoxyphenyltriacetoxysilane, methoxyphenyltrichlorosilane, methoxybenzyltrimethoxysilane, methoxybenzyltriethoxysilane, methoxybenzyltriacetoxysilane, methoxybenzyltrichlorosilane, methoxyphenethyltrimethoxysilane, methoxyphenethyltriethoxysilane, methoxyphenethyltriacetoxysilane, methoxyphenethyltrichlorosilane, ethoxyphenyltrimethoxysilane, ethoxyphenyltriethoxysilane, ethoxyphenyltriacetoxysilane, ethoxyphenyltrichlorosilane, ethoxybenzyltrimethoxysilane, ethoxybenzyltriethoxysilane, ethoxybenzyltriacetoxysilane, ethoxybenzyltrichlorosilane, i-propoxyphenyltrimethoxysilane, i-propoxyphenyltriethoxysilane, i-propoxyphenyltriacetoxysilane, i-propoxyphenyltrichlorosilane, i-propoxybenzyltrimethoxysilane, i-propoxybenzyltriethoxysilane, i-propoxybenzyltriacetoxysilane, i-propoxybenzyltrichlorosilane, t-butoxyphenyltrimethoxysilane, t-butoxyphenyltriethoxysilane, t-butoxyphenyltriacetoxysilane, t-butoxyphenyltrichlorosilane, t-butoxybenzyltrimethoxysilane, t-butoxybenzyltriethoxysilane, t-butoxybenzyltriacetoxysilane, t-butoxybenzyltrichlorosilane, methoxynaphthyltrimethoxysilane, methoxynaphthyltriethoxysilane, methoxynaphthyltriacetoxysilane, methoxynaphthyltrichlorosilane, ethoxynaphthyltrimethoxysilane, ethoxynaphthyltriethoxysilane, ethoxynaphthyltriacetoxysilane, and ethoxynaphthyltrichlorosilane.

A specific example of the silane compound of Formula (1); i.e., a silane compound of Formula (1) wherein R1 is an organic group containing a group of Formula (1-2), may be a commercially available product, or may be synthesized by a known method described in, for example, WO 2011/102470.

Specific examples of silane compounds containing an organic group containing a group of Formula (1-2) include, but are not limited to, compounds of Formulae (1-2-1) to (1-2-29) shown below.

Other examples of the silane compound of Formula (1) include aryl-group-containing silane compounds of Formulae (A-1) to (A-41).

[Additional Silane Compound (Hydrolyzable Silane)]

In the present invention, the aforementioned hydrolyzable silane mixture may contain at least one (additional hydrolyzable silane) selected from among a silane compound of the following Formula (2) and a silane compound of the following Formula (3) together with the silane compound of Formula (1) for the purpose of, for example, adjusting film properties such as film density. Among these additional hydrolyzable silanes, a silane compound of the following Formula (2) is preferred.


R4cSi(R5)4−c   (2)

In Formula (2), R4 is a group bonded to the silicon atom, and is each independently a substitutable alkyl group, a substitutable halogenated alkyl group, a substitutable alkoxyalkyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or any combination of these.

R5 is a group or atom bonded to the silicon atom, and is each independently an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.

In Formula (2), c is an integer of 0 to 3.

Specific examples of the groups of R4 and preferred carbon atom numbers thereof are the same as those described above regarding

Specific examples of the groups of R5 and preferred carbon atom numbers thereof are the same as those described above regarding

In Formula (2), c is preferably 0 or 1, more preferably 0.


[R6dSi(R7)3−d]2Ye   (3)

In Formula (3), R6 is a group bonded to the silicon atom, and is each independently a substitutable alkyl group, a substitutable aryl group, a substitutable aralkyl group, a substitutable halogenated alkyl group, a substitutable halogenated aryl group, a substitutable halogenated aralkyl group, a substitutable alkoxyalkyl group, a substitutable alkoxyaryl group, a substitutable alkoxyaralkyl group, or a substitutable alkenyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or any combination of these.

R7 is a group or atom bonded to the silicon atom, and is each independently an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.

Y is a group bonded to the silicon atom, and is each independently an alkylene group or an arylene group.

In Formula (3), d is an integer of 0 or 1, and e is an integer of 0 or 1.

Specific examples of the groups of R6 and preferred carbon atom numbers thereof are the same as those described above regarding R2.

Specific examples of the groups and atoms of R7 and preferred carbon atom numbers thereof are the same as those described above regarding R3.

Specific examples of the alkylene group of Y include, but are not limited to, alkylene groups, for example, linear alkylene groups such as methylene group, ethylene group, trimethylene group, tetramethylene group, pentamethylene group, hexamethylene group, heptamethylene group, octamethylene group, nonamethylene group, and decamethylene group, and branched alkylene groups such as 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1,2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, and 1-ethyltrimethylene group; and alkanetriyl groups such as methanetriyl group, ethane-1,1,2-triyl group, ethane-1,2,2-triyl group, ethane-2,2,2-triyl group, propane-1,1,1-triyl group, propane-1,1,2-triyl group, propane-1,2,3-triyl group, propane-1,2,2-triyl group, propane-1,1,3-triyl group, butane-1,1,1-triyl group, butane-1,1,2-triyl group, butane-1,1,3-triyl group, butane-1,2,3-triyl group, butane-1,2,4-triyl group, butane-1,2,2-triyl group, butane-2,2,3-triyl group, 2-methylpropane-1,1,1-triyl group, 2-methylpropane-1,1,2-triyl group, and 2-methylpropane-1,1,3-triyl group. Specific examples of the arylene group include, but are not limited to, 1,2-phenylene group, 1,3-phenylene group, 1,4-phenylene group; groups derived from a condensed-ring aromatic hydrocarbon compound through removal of two hydrogen atoms on the aromatic ring, such as 1,5-naphthalenediyl group, 1,8-naphthalenediyl group, 2,6-naphthalenediyl group, 2,7-naphthalenediyl group, 1,2-anthracenediyl group, 1,3-anthracenediyl group, 1,4-anthracenediyl group, 1,5-anthracenediyl group, 1,6-anthracenediyl group, 1,7-anthracenediyl group, 1,8-anthracenediyl group, 2,3-anthracenediyl group, 2,6-anthracenediyl group, 2,7-anthracenediyl group, 2,9-anthracenediyl group, 2,10-anthracenediyl group, and 9,10-anthracenediyl group; and groups derived from a connected ring aromatic hydrocarbon compound through removal of two hydrogen atoms on the aromatic ring, such as 4,4′-biphenyldiyl group and 4,4″-p-terphenyldiyl group.

In Formula (3), d is preferably 0 or 1, more preferably 0,

In Formula (3), e is preferably 1.

Specific examples of the hydrolyzable silane of Formula (2) include, but are not limited to, tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetra-n-propoxysilane, tetra-i-propoxysilane, tetra-n-butoxysilane, methyltrimethoxysilane, methyltrichlorosilane, methyltriacetoxysilane, methyltriethoxysilane, methyltripropoxysilane, methyltributoxysilane, methyltriamyloxysilane, methyltribenzyloxysilane, methyltriphenethyloxysilane, glycidoxymethyltrimethoxysilane, glycidoxymethyltriethoxysilane, α-glycidoxyethyltrimethoxysilane, α-glycidoxyethyltriethoxysilane, β-glycidoxyethyltrimethoxysilane, β-glycidoxyethyltriethoxysilane, α-glycidoxypropyltrimethoxysilane, α-glycidoxypropyltriethoxysilane, β-glycidoxypropyltrimethoxysilane, β-glycidoxypropyltriethoxysilane, γ-glycidoxypropyltrimethoxysilane, γ-glycidoxypropyltriethoxysilane, γ-glycidoxypropyltripropoxysilane, γ-glycidoxypropyltributoxysilane, α-glycidoxybutyltrimethoxysilane, α-glycidoxybutyltriethoxysilane, β-glycidoxybutyltriethoxysilane, γ-glycidoxybutyltrimethoxysilane, γ-glycidoxybutyltriethoxysilane, δ-glycidoxybutyltrimethoxysilane, δ-glycidoxybutyltriethoxysilane, (3,4-epoxycyclohexyl)methyltrimethoxysilane, (3,4-epoxycyclohexyl)methyltriethoxysilane, β-(3,4-epoxycyclohexyl)ethyltrimethoxysilane, β-(3,4-epoxycyclohexyl)ethyltriethoxysilane, β-(3,4-epoxycyclohexyl)ethyltripropoxysilane, β-(3,4-epoxycyclohexyl)ethyltributoxysilane, γ-(3,4-epoxycyclohexyl)propyltrimethoxysilane, γ-(3,4-epoxycyclohexyl)propyltriethoxysilane, δ-(3,4-epoxycyclohexyl)butyltrimethoxysilane, δ-(3,4-epoxycyclohexyl)butyltriethoxysilane, glycidoxymethylmethyldimethoxysilane, glycidoxymethylmethyldiethoxysilane, α-glycidoxyethylmethyldimethoxysilane, α-glycidoxyethylmethyldiethoxysilane, β-glycidoxyethylmethyldimethoxysilane, β-glycidoxyethylethyldimethoxysilane, α-glycidoxypropylmethyldimethoxysilane, α-glycidoxypropylmethyldiethoxysilane, β-glycidoxypropylmethyldimethoxysilane, β-glycidoxypropylethyldimethoxysilane, γ-glycidoxypropylmethyldimethoxysilane, γ-glycidoxypropylmethyldiethoxysilane, γ-glycidoxypropylmethyldipropoxysilane, γ-glycidoxypropylmethyldibutoxysilane, γ-glycidoxypropylethyldimethoxysilane, γ-glycidoxypropylethyldiethoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, γ-chloropropyltrimethoxysilane, γ-chloropropyltriethoxysilane, γ-chloropropyltriacetoxysilane, 3,3,3-trifluoropropyltrimethoxysilane, γ-methacryloxypropyltrimethoxysilane, γ-mercaptopropyltrimethoxysilane, γ-mercaptopropyltriethoxysilane, 13-cyanoethyltriethoxysilane, chloromethyltrimethoxysilane, chloromethyltriethoxysilane, bicyclo(2,2,1)heptenyltriethoxysilane, benzenesulfonylpropyltriethoxysilane, benzenesulfonamidepropyltriethoxysilane, dimethylaminopropyltrimethoxysilane, dimethyl dimethoxysilane, dimethyldiethoxysilane, γ-chloropropylmethyldimethoxysilane, γ-chloropropylmethyldiethoxysilane, dimethyldiacetoxysilane, γ-methacryloxypropylmethyldimethoxysilane, γ-methacryloxypropylmethyldiethoxysilane, γ-mercaptopropylmethyldimethoxysilane, and γ-mercaptomethyldiethoxysilane.

Specific examples of the silane compound of Formula (3) include, but are not limited to, methylenebistrimethoxysilane, methylenebistrichlorosilane, methylenebistriacetoxysilane, ethylenebistriethoxysilane, ethylenebistrichlorosilane, ethylenebistriacetoxysilane, propylenebistriethoxysilane, butylenebistrimethoxysilane, phenylenebistrimethoxysilane, phenylenebistriethoxysilane, phenylenebismethyldiethoxysilane, phenylenebismethyldimethoxysilane, naphthylenebistrimethoxysilane, bistrimethoxydisilane, bistriethoxydisilane, bisethyldiethoxydisilane, and bismethyldimethoxydisilane.

Among these, a tetrafunctional silane such as tetramethoxysilane or tetraethoxysilane is preferably used, from the viewpoints of, for example, increasing the crosslinked density of a film formed from the composition of the present invention, reducing diffusion, etc. of a component of a resist film into the film formed from the composition, and maintaining and improving the resist properties of the resist film.

In the present invention, the aforementioned hydrolyzable silane mixture may contain a silane compound (hydrolyzable organosilane) having an onium group in the molecule. The use of a silane compound (hydrolyzable organosilane) having an onium group in the molecule can effectively and efficiently promote the crosslinking reaction of the hydrolyzable silane.

One preferred example of such a hydrolyzable organosilane having an onium group in the molecule is shown in the following Formula (4).


R11fR12gSi(R13)−(f+g)   (4)

R11 is a group bonded to the silicon atom, and is an onium group or an organic group containing the onium group.

R12 is a group bonded to the silicon atom, and is each independently a substitutable alkyl group, a substitutable aryl group, a substitutable aralkyl group, a substitutable halogenated alkyl group, a substitutable halogenated aryl group, a substitutable halogenated aralkyl group, a substitutable alkoxyalkyl group, a substitutable alkoxyaryl group, a substitutable alkoxyaralkyl group, or a substitutable alkenyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, or a cyano group, or any combination of these.

R13 is a group or atom bonded to the silicon atom, and is each independently an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.

In Formula (4), f is 1 or 2; g is 0 or 1; and f and g satisfy a relation of 1≤f+g≤2.

Specific examples of the aforementioned alkyl group, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, alkoxyalkyl group, alkoxyaryl group, alkoxyaralkyl group, alkenyl group, and organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, or a cyano group, an alkoxy group, an aralkyloxy group, acyloxy group, and a halogen atom, and specific examples of the substituent of the alkyl group, the aryl group, the aralkyl group, the halogenated alkyl group, the halogenated aryl group, the halogenated aralkyl group, the alkoxyalkyl group, the alkoxyaryl group, the alkoxyaralkyl group, and the alkenyl group, and preferred carbon atom numbers thereof are the same as those described above regarding R2 (for R12) and regarding R3 (for R13).

More specifically, the onium group is, for example, a cyclic ammonium group or a chain ammonium group, and is preferably a tertiary ammonium group or a quaternary ammonium group.

Preferred specific examples of the onium group or the organic group containing the onium group include a cyclic ammonium group or a chain ammonium group, or an organic group containing at least one of these ammonium groups. Preferred is a tertiary ammonium group or a quaternary ammonium group, or an organic group containing at least one of these ammonium groups

When the onium group is a cyclic ammonium group, the nitrogen atom forming the ammonium group also serves as an atom forming the ring. In this case, the nitrogen atom forming the ring and the silicon atom are bonded directly or via a divalent linking group, or the carbon atom forming the ring and the silicon atom are bonded directly or via a divalent linking group.

In one preferred embodiment of the present invention, R11 (i.e., the group bonded to the silicon atom) is a heteroaromatic cyclic ammonium group of the following Formula (S1).

In Formula (Si), A1, A2, A3, and A4 are each independently a group of any of the following Formulae (J1) to (J3), and at least one of A1 to A4 is a group of the following Formula (J2). Depending on the bonding between the silicon atom in Formula (4) and any of A1 to A4, each of A1 to A4 and the ring-forming atom adjacent thereto forms a single bond or a double bond. This determines whether the thus-formed ring exhibits aromati city.

In Formulae (J1) to (J3), R10 is each independently a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, or an alkenyl group. Specific examples of the alkyl group, the aryl group, the aralkyl group, the halogenated alkyl group, the halogenated aryl group, the halogenated aralkyl group, and the alkenyl group, and preferred carbon atom numbers thereof are the same as those described above.

In Formula (S1), R14 is each independently an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkenyl group, or a hydroxy group. When two or more R14s are present, the two R14s may be bonded together to form a ring, and the ring formed by the two R14s may have a crosslinked ring structure. In such a case, the cyclic ammonium group has, for example, an adamantane ring, a norbornene ring, or a spiro ring.

Specific examples of these alkyl group, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, and alkenyl group, and preferred carbon atom numbers thereof are the same as those described above.

In Formula (S1), n1 is an integer of 1 to 8; m1 is 0 or 1; and m2 is 0 or a positive integer raging from 1 to the possible maximum number of R14s substituted on a monocyclic or polycyclic ring.

When m1 is 0, a (4+n1)-membered ring including A1 to A4 is formed. Specifically, when n1 is 1, a 5-membered ring is formed; when n1 is 2, a 6-membered ring is formed;

when n1 is 3, a 7-membered ring is formed; when n1 is 4, a 8-membered ring is formed; when n1 is 5, a 9-membered ring is formed; when n1 is 6, a 10-membered ring is formed; when n1 is 7, a 11-membered ring is formed; and when n1 is 8, a 12-membered ring is formed.

When m1 is 1, a condensed ring is formed by condensation between a (4+n1)-membered ring including A1 to A3 and a 6-membered ring including A4.

Since each of A1 to A4 is any of the groups of Formulae (J1) to (J3), the ring-forming atom has or does not have a hydrogen atom. In each of A1 to A4, when the ring-forming atom has a hydrogen atom, the hydrogen atom may be substituted with R14. Alternatively, a ring-forming atom other than the ring-forming atom in each of A1 to A4 may be substituted with R14. Because of these circumstances, m2 is 0 or an integer raging from 1 to the possible maximum number of R14s substituted on a monocyclic or polycyclic ring.

The dangling bond of the heteroaromatic cyclic ammonium group of Formula (Si) is present on any carbon atom or nitrogen atom present in such a monocyclic or polycyclic ring, and is directly bonded to the silicon atom. Alternatively, the dangling bond is bonded to a linking group to form an organic group containing the cyclic ammonium group, and the organic group is bonded to the silicon atom.

Examples of the linking group include, but are not limited to, an alkylene group, an arylene group, and an alkenylene group.

Specific examples of the alkylene group and the arylene group, and preferred carbon atom numbers thereof are the same as those described above.

The alkenylene group is a divalent group derived from an alkenyl group through removal of one hydrogen atom. Specific examples of the alkenyl group are the same as those described above. No particular limitation is imposed on the carbon atom number of the alkenylene group, but the carbon atom number is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less.

Specific examples of the alkenylene group include, but are not limited to, vinylene group, 1-methylvinylene group, propenylene group, 1-butenylene group, 2-butenylene group, 1-pentenylene group, and 2-pentenylene group.

Specific examples of the silane compound (hydrolyzable organosilane) of Formula (4) having the heteroaromatic cyclic ammonium group of Formula (S1) include, but are not limited to, silanes of the following Formulae (I-1) to (I-50).

In another embodiment, R11 (i.e., the group bonded to the silicon atom in Formula (4)) is a heteroaliphatic cyclic ammonium group of the following Formula (S2).

In Formula (S2), A5, A6, A7, and A8 are each independently a group of any of the following Formulae (J4) to (J6), and at least one of A5 to A8 is a group of the following

Formula (J5). Depending on the bonding between the silicon atom in Formula (4) and any of A5 to A8, each of A5 to A8 and the ring-forming atom adjacent thereto forms a single bond or a double bond. This determines whether the thus-formed ring exhibits anti-aromaticity.

In Formulae (J4) to (J6), R10 is each independently a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, or an alkenyl group. Specific examples of the alkyl group, the aryl group, the aralkyl group, the halogenated alkyl group, the halogenated aryl group, the halogenated aralkyl group, and the alkenyl group, and preferred carbon atom numbers thereof are the same as those described above.

In Formula (S2), R15 is each independently an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkenyl group, or a hydroxy group. When two or more R15s are present, the two R15s may be bonded together to form a ring, and the ring formed by the two R15s may have a crosslinked ring structure. In such a case, the cyclic ammonium group has, for example, an adamantane ring, a norbornene ring, or a spiro ring.

Specific examples of the alkyl group, the aryl group, the aralkyl group, the halogenated alkyl group, the halogenated aryl group, the halogenated aralkyl group, and the alkenyl group, and preferred carbon atom numbers thereof are the same as those described above.

In Formula (S2), n2 is an integer of 1 to 8; m3 is 0 or 1; and m4 is 0 or a positive integer raging from 1 to the possible maximum number of R15s substituted on a monocyclic or polycyclic ring.

When m3 is 0, a (4+n2)-membered ring including A5 to A8 is formed. Specifically, when n2 is 1, a 5-membered ring is formed; when n2 is 2, a 6-membered ring is formed;

when n2 is 3, a 7-membered ring is formed; when n2 is 4, a 8-membered ring is formed; when n2 is 5, a 9-membered ring is formed; when n2 is 6, a 10-membered ring is formed; when n2 is 7, a 11-membered ring is formed; and when n2 is 8, a 12-membered ring is formed.

When m3 is 1, a condensed ring is formed by condensation between a (4+n2)-membered ring including A5 to A7 and a 6-membered ring including A8.

Since each of A5 to A8 is any of the groups of Formulae (J4) to (J6), the ring-forming atom has or does not have a hydrogen atom. In each of A5 to A8, when the ring-forming atom has a hydrogen atom, the hydrogen atom may be substituted with R15.

Alternatively, a ring-forming atom other than the ring-forming atom in each of A5 to A8 may be substituted with R15.

Because of these circumstances, m4 is 0 or an integer raging from 1 to the possible maximum number of R15s substituted on a monocyclic or polycyclic ring.

The dangling bond of the heteroaliphatic cyclic ammonium group of Formula (S2) is present on any carbon atom or nitrogen atom present in such a monocyclic or polycyclic ring, and is directly bonded to the silicon atom. Alternatively, the dangling bond is bonded to a linking group to form an organic group containing the cyclic ammonium group, and the organic group is bonded to the silicon atom.

The linking group is, for example, an alkylene group, an arylene group, or an alkenylene group. Specific examples of the alkylene group, the arylene group, and the alkenylene group, and preferred carbon atom numbers thereof are the same as those described above.

Specific examples of the silane compound (hydrolyzable organosilane) of Formula (4) having the heteroaliphatic cyclic ammonium group of Formula (S2) include, but are not limited to, silanes of the following Formulae (II-1) to (II-30).

In yet another embodiment, R11 (i.e., the group bonded to the silicon atom in Formula (4)) is a chain ammonium group of the following Formula (S3).

In Formula (S3), R10 is each independently a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, or an alkenyl group. Specific examples of the alkyl group, the aryl group, the aralkyl group, the halogenated alkyl group, the halogenated aryl group, the halogenated aralkyl group, and the alkenyl group, and preferred carbon atom numbers thereof are the same as those described above.

The chain ammonium group of Formula (S3) is directly bonded to the silicon atom. Alternatively, the chain ammonium group is bonded to a linking group to form an organic group containing the chain ammonium group, and the organic group is bonded to the silicon atom.

The linking group is, for example, an alkylene group, an arylene group, or an alkenylene group. Specific examples of the alkylene group, the arylene group, and the alkenylene group are the same as those described above.

Specific examples of the silane compound (hydrolyzable organosilane) of Formula (4) having the chain ammonium group of Formula (S3) include, but are not limited to, silanes of the following Formulae (III-1) to (III-28).

In the resist underlayer film-forming composition of the present invention, the hydrolyzable silane mixture may further contain a silane compound having a sulfone group or a silane compound having a sulfonamide group. Specific examples of such a silane compound include, but are not limited to, those described below.

In the following Formula, Me denotes a methyl group, and Et denotes an ethyl group.

The aforementioned hydrolyzable silane mixture may contain an additional silane compound (hydrolyzable silane) other than the above-exemplified ones, so long as the effects of the present invention are not impaired.

As described above, the resist underlayer film-forming composition of the present invention contains a hydrolysis condensate of the aforementioned hydrolyzable silane mixture.

In one preferred embodiment of the present invention, the resist underlayer film-forming composition of the present invention contains at least a hydrolysis condensate of the aforementioned hydrolyzable silane mixture.

In one preferred embodiment of the present invention, the hydrolysis condensate contained in the resist underlayer film-forming composition of the present invention contains a hydrolysis condensate prepared from at least a silane of Formula (1), a hydrolyzable silane of Formula (2), and an additional hydrolyzable silane used if desired. For example, the aforementioned hydrolysis condensate may be a hydrolysis condensate of a hydrolyzable silane mixture containing a silane compound of Formula (1) in an amount of, for example, 5% by mole or more (preferably 10% by mole or more) relative to the total amount of silane compounds contained in the hydrolyzable silane mixture.

In a more preferred embodiment, the aforementioned hydrolysis condensate may be a hydrolysis condensate of a hydrolyzable silane mixture containing, as an essential component, a compound of Formula (1) wherein le is an organic group containing a succinic anhydride skeleton. In this case, the aforementioned hydrolysis condensate may be a hydrolysis condensate of a hydrolyzable silane mixture containing the compound of Formula (1) wherein R1 is an organic group containing a succinic anhydride skeleton in an amount of, for example, 1% by mole or more (preferably 5% by mole or more) relative to the total amount of silane compounds contained in the hydrolyzable silane mixture.

When the hydrolyzable silane mixture contains a silane compound (hydrolyzable silane) other than the silane compound of Formula (1), the amount of the silane compound of Formula (1) added is, for example, 5% by mole or more (preferably 10% by mole or more) relative to the total amount (100% by mole) of all silane compounds (hydrolyzable silanes) contained in the hydrolyzable silane mixture. From the viewpoint of achieving the aforementioned effects of the present invention at high reproducibility, particularly preferably, the hydrolyzable silane mixture contains, as an essential component, a compound of Formula (1) wherein R1 is an organic group containing a succinic anhydride skeleton. The amount of the compound is generally, for example, 0.1% by mole or more, preferably 0.5% by mole or more, more preferably 1% by mole or more, still more preferably 3% by mole or more, much more preferably 5% by mole or more, relative to the total amount of the silane compounds contained in the hydrolyzable silane mixture. Meanwhile, the amount of the compound is generally 10% by mole or less, preferably 8% by mole or less, more preferably 6% by mole or less, still more preferably 5.5% by mole or less, relative to the total amount of the silane compounds.

When the hydrolyzable silane mixture contains a silane compound of Formula (2) (exclusive of alkyltrialkoxysilane) or a silane compound of Formula (3), the amount of such a silane compound added is generally 60% by mole to 90% by mole relative to the total amount of all silane compounds (hydrolyzable silanes) contained in the hydrolyzable silane mixture. As described above, the amount of the alkyltrialkoxysilane added is less than 40% by mole; i.e., the amount of the alkyltrialkoxysilane is 0% by mole or more and less than 40% by mole, from the viewpoint of improving residue removability by etching during dry etching of a film formed from the composition containing a condensate of the mixture. When the hydrolyzable silane mixture contains a hydrolyzable organosilane of

Formula (4) having an onium group in the molecule, the amount of the organosilane added is generally 0.01% by mole or more, preferably 0.1% by mole or more, and is generally 30% by mole or less, preferably 10% by mole or less, relative to the total amount of all silane compounds (hydrolyzable silanes) contained in the mixture.

The aforementioned hydrolysis condensate of the hydrolyzable silane mixture may have a weight average molecular weight of, for example, 500 to 1,000,000. From the viewpoint of, for example, preventing the precipitation of the hydrolysis condensate in the composition, the weight average molecular weight is preferably 500,000 or less, more preferably 250,000 or less, still more preferably 100,000 or less.

From the viewpoint of, for example, the compatibility between storage stability and applicability, the weight average molecular weight is preferably 700 or more, more preferably 1,000 or more.

The weight average molecular weight is determined by GPC analysis in terms of polystyrene. The GPC analysis can be performed under, for example, the following conditions: GPC apparatus (trade name: HLC-8220GPC, available from Tosoh Corporation), GPC columns (trade name: Shodex (registered trademark) KF803L, KF802, and KF801, available from Showa Denko K. K.), a column temperature of 40° C., tetrahydrofuran serving as an eluent (elution solvent), a flow amount (flow rate) of 1.0 mL/min, and polystyrene (available from Showa Denko K. K.) as a standard sample.

The aforementioned hydrolysis condensate of the hydrolyzable silane mixture is prepared by hydrolysis and condensation of the aforementioned silane compound (hydrolyzable silane).

The aforementioned silane compound (hydrolyzable silane) contains an alkoxy group, aralkyloxy group, acyloxy group, or halogen atom directly bonded to the silicon atom; specifically, a hydrolyzable group (i.e., an alkoxysilyl group, an aralkyloxysilyl group, an acyloxysilyl group, or a halogenated silyl group).

For the hydrolysis of the hydrolyzable group, generally 0.5 to 100 mol (preferably 1 to 10 mol) of water is used per mol of the hydrolyzable group. A hydrolysis catalyst may be used during the hydrolysis and condensation for the purpose of, for example, promoting the reaction. Alternatively, the hydrolysis and condensation may be performed without use of a hydrolysis catalyst. When a hydrolysis catalyst is used, the amount of the hydrolysis catalyst can be generally 0.0001 to 10 mol, preferably 0.001 to 1 mol per mol of the hydrolyzable group. The reaction temperature for the hydrolysis and condensation is generally equal to or higher than room temperature and equal to or lower than the reflux temperature (at ambient temperature) of an organic solvent usable for the hydrolysis. The reaction temperature may be, for example, 20 to 110° C., or, for example, 20 to 80° C.

The hydrolysis may be performed completely; i.e., all hydrolyzable groups may be converted into silanol groups, or may be performed partially; i.e., unreacted hydrolyzable groups may remain.

Examples of the hydrolysis catalyst usable for the hydrolysis and condensation include a metal chelate compound, an organic acid, an inorganic acid, an organic base, and an inorganic base.

Examples of the metal chelate compound serving as a hydrolysis catalyst include, but are not limited to, titanium chelate compounds, such as triethoxy.mono(acetylacetonato)titanium, tri-n-propoxy mono(acetylacetonato)titanium, tri-i-propoxymono(acetylacetonato)titanium, tri-n-butoxymono(acetylacetonato)titanium, tri-sec-butoxymono(acetylacetonato)titanium, tri-t-butoxy mono(acetylacetonato)titanium, diethoxybis(acetylacetonato)titanium, di-n-propoxybis(acetylacetonato)titanium, di-i-propoxybis(acetylacetonato)titanium, di-n-butoxybis(acetylacetonato)titanium, di-sec-butoxybis(acetylacetonato)titanium, di-t-butoxybis(acetylacetonato)titanium, monoethoxytris(acetylacetonato)titanium, mono-n-propoxytris(acetylacetonato)titanium, mono-i-propoxytris(acetylacetonato)titanium, mono-n-butoxytris(acetylacetonato)titanium, mono-sec-butoxytris(acetylacetonato)titanium, mono-t-butoxytris(acetylacetonato)titanium, tetrakis(acetylacetonato)titanium, triethoxymono(ethylacetoacetato)titanium, tri-n-propoxymono(ethylacetoacetato)titanium, tri-i-propoxymono(ethylacetoacetato)titanium, tri-n-butoxymono(ethylacetoacetato)titanium, tri-sec-butoxymono(ethylacetoacetato)titanium, tri-t-butoxymono(ethylacetoacetato)titanium, diethoxybis(ethylacetoacetato)titanium, di-n-propoxybis(ethylacetoacetato)titanium, di-i-propoxybis(ethylacetoacetato)titanium, di-n-butoxybis(ethylacetoacetato)titanium, di-sec-butoxybis(ethylacetoacetato)titanium, di-t-butoxybis(ethylacetoacetato)titanium, monoethoxytris(ethylacetoacetato)titanium, mono-n-propoxytris(ethylacetoacetato)titanium, mono-i-propoxytris(ethylacetoacetato)titanium, mono-n-butoxytris(ethylacetoacetato)titanium, mono-sec-butoxytris(ethylacetoacetato)titanium, mono-t-butoxytris(ethylacetoacetato)titanium, tetrakis(ethylacetoacetato)titanium, mono(acetylacetonato)tris(ethylacetoacetato)titanium, bis(acetylacetonato)bis(ethylacetoacetato)titanium, and tris(acetylacetonato)mono(ethylacetoacetato)titanium; zirconium chelate compounds, such as tiethoxymono(acetylacetonato)zirconium, tri-n-propoxymono(acetylacetonato)zirconium, tri-i-propoxymono(acetylacetonato)zirconium, tri-n-butoxy mono(acetylacetonato)zirconium, tri-sec-butoxymono(acetylacetonato)zirconium, tri-t-butoxy mono(acetylacetonato)zirconium, diethoxy.bis(acetylacetonato)zirconium, di-n-propoxybis(acetylacetonato)zirconium, di-i-propoxybis(acetylacetonato)zirconium, di-n-butoxybis(acetylacetonato)zirconium, di-sec-butoxybis(acetylacetonato)zirconium, di-t-butoxybis(acetylacetonato)zirconium, monoethoxytris(acetylacetonato)zirconium, mono-n-propoxytris(acetylacetonato)zirconium, mono-i-propoxytris(acetylacetonato)zirconium, mono-n-butoxytris(acetylacetonato)zirconium, mono-sec-butoxytris(acetylacetonato)zirconium, mono-t-butoxytris(acetylacetonato)zirconium, tetrakis(acetylacetonato)zirconium, triethoxymono(ethylacetoacetato)zirconium, tri-n-propoxymono(ethylacetoacetato)zirconium, tri-i-propoxymono(ethylacetoacetato)zirconium, tri-n-butoxymono(ethylacetoacetato)zirconium, tri-sec-butoxymono(ethylacetoacetato)zirconium, tri-t-butoxymono(ethylacetoacetato)zirconium, diethoxy.bis(ethylacetoacetato)zirconium, di-n-propoxybis(ethylacetoacetato)zirconium, di-i-propoxybis(ethylacetoacetato)zirconium, di-n-butoxybis(ethylacetoacetato)zirconium, di-sec-butoxybis(ethylacetoacetato)zirconium, di-t-butoxybis(ethylacetoacetato)zirconium, monoethoxytris(ethylacetoacetato)zirconium, mono-n-propoxytris(ethylacetoacetato)zirconium, mono-i-propoxytris(ethylacetoacetato)zirconium, mono-n-butoxytris(ethylacetoacetato)zirconium, mono-sec-butoxytris(ethylacetoacetato)zirconium, mono-t-butoxytris(ethylacetoacetato)zirconium, tetrakis(ethylacetoacetato)zirconium, mono(acetylacetonato)tris(ethylacetoacetato)zirconium, bis(acetylacetonato)bis(ethylacetoacetato)zirconium, and tris(acetylacetonato)mono(ethylacetoacetato)zirconium; and aluminum chelate compounds, such as tris(acetylacetonato)aluminum and tris(ethylacetoacetato)aluminum.

Examples of the organic acid serving as a hydrolysis catalyst include, but are not limited to, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacic acid, gallic acid, butyric acid, mellitic acid, arachidonic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linolenic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfonic acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthalic acid, fumaric acid, citric acid, and tartaric acid.

Examples of the inorganic acid serving as a hydrolysis catalyst include, but are not limited to, hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, and phosphoric acid.

Examples of the organic base serving as a hydrolysis catalyst include, but are not limited to, pyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, diazabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, trimethylphenylammonium hydroxide, benzyltrimethylammonium hydroxide, and benzyltriethylammonium hydroxide. Examples of the inorganic base serving as a hydrolysis catalyst include, but are not limited to, ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, and calcium hydroxide.

Among these catalysts, a metal chelate compound, an organic acid, or an inorganic acid is preferred. These catalysts may be used alone or in combination of two or more species.

In particular, nitric acid can be preferably used as a hydrolysis catalyst in the present invention. The use of nitric acid enables an improvement in the storage stability of a reaction solution after the hydrolysis and condensation, and particularly enables suppression of a change in the molecular weight of a hydrolysis condensate. It is known that the stability of the hydrolysis condensate contained in the reaction solution depends on the pH of the solution. The present inventors have conducted extensive studies, and as a result have found that the pH of the reaction solution falls in a stable range by use of an appropriate amount of nitric acid.

An organic solvent may be used for the hydrolysis and condensation. Specific examples of the organic solvent include, but are not limited to, aliphatic hydrocarbon solvents, such as n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2,2,4-trimethylpentane, n-octane, i-octane, cyclohexane, and methylcyclohexane; aromatic hydrocarbon solvents, such as benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, i-propylbenzene, diethylbenzene, i-butylbenzene, triethylbenzene, di-i-propylbenzene, and n-amylnaphthalene; monohydric alcohol solvents, such as methanol, ethanol, n-propanol, i-propanol, n-butanol, i-butanol, sec-butanol, t-butanol, n-pentanol, i-pentanol, 2-methylbutanol, sec-pentanol, t-pentanol, 3-methoxybutanol, n-hexanol, 2-methylpentanol, sec-hexanol, 2-ethylbutanol, n-heptanol, sec-heptanol, 3-heptanol, n-octanol, 2-ethylhexanol, sec-octanol, n-nonyl alcohol, 2,6-dimethyl-4-heptanol, n-decanol, sec-undecyl alcohol, trimethylnonyl alcohol, sec-tetradecyl alcohol, sec-heptadecyl alcohol, phenol, cyclohexanol, methylcyclohexanol, 3,3,5-trimethylcyclohexanol, benzyl alcohol, phenylmethylcarbinol, diacetone alcohol, and cresol; polyhydric alcohol solvents, such as ethylene glycol, propylene glycol, 1,3-butyl ene glycol, 2,4-pentanediol, 2-methyl-2,4-pentanediol, 2,5-hexanediol, 2,4-heptanediol, 2-ethyl-1,3-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene glycol, and glycerin; ketone solvents, such as acetone, methyl ethyl ketone, methyl-n-propyl ketone, methyl-n-butyl ketone, diethyl ketone, methyl-i-butyl ketone, methyl-n-pentyl ketone, ethyl-n-butyl ketone, methyl-n-hexyl ketone, di-i-butyl ketone, trimethylnonanone, cyclohexanone, methylcyclohexanone, 2,4-pentanedione, acetonylacetone, diacetone alcohol, acetophenone, and fenchone; ether solvents, such as ethyl ether, i-propyl ether, n-butyl ether, n-hexyl ether, 2-ethylhexyl ether, ethylene oxide, 1,2-propylene oxide, dioxolane, 4-methyldioxolane, dioxane, dimethyldioxane, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol diethyl ether, ethylene glycol mono-n-butyl ether, ethylene glycol mono-n-hexyl ether, ethylene glycol monophenyl ether, ethylene glycol mono-2-ethylbutyl ether, ethylene glycol dibutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol diethyl ether, diethylene glycol mono-n-butyl ether, diethylene glycol di-n-butyl ether, diethylene glycol mono-n-hexyl ether, ethoxytriglycol, tetraethylene glycol di-n-butyl ether, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy-2-propanol), propylene glycol monopropyl ether, propylene glycol monobutyl ether, propylene glycol monomethyl ether acetate (1-methoxy-2-propanol acetate), dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, dipropylene glycol monopropyl ether, dipropylene glycol monobutyl ether, tripropylene glycol monomethyl ether, tetrahydrofuran, and 2-methyltetrahydrofuran; ester solvents, such as diethyl carbonate, methyl acetate, ethyl acetate, γ-butyrolactone, γ-valerolactone, n-propyl acetate, i-propyl acetate, n-butyl acetate, i-butyl acetate, sec-butyl acetate, n-pentyl acetate, sec-pentyl acetate, 3-methoxybutyl acetate, methylpentyl acetate, 2-ethylbutyl acetate, 2-ethylhexyl acetate, benzyl acetate, cyclohexyl acetate, methylcyclohexyl acetate, n-nonyl acetate, methyl acetoacetate, ethyl acetoacetate, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol mono-n-butyl ether acetate, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, dipropylene glycol monomethyl ether acetate, dipropylene glycol monoethyl ether acetate, glycol diacetate, methoxytriglycol acetate, ethyl propionate, n-butyl propionate, i-amyl propionate, diethyl oxalate, di-n-butyl oxalate, methyl lactate, ethyl lactate, n-butyl lactate, n-amyl lactate, diethyl malonate, dimethyl phthalate, and diethyl phthalate; nitrogen-containing solvents, such as N-methylformamide, N,N-dimethylformamide, N,N-diethylformamide, acetamide, N-methylacetamide, N,N-dimethylacetamide, N-methylpropionamide, and N-methyl-2-pyrrolidone; and sulfur-containing solvents, such as dimethyl sulfide, diethyl sulfide, thiophene, tetrahydrothiophene, dimethyl sulfoxide, sulfolane, and 1,3-propanesultone. These solvents may be used alone or in combination of two or more species.

After completion of the hydrolysis and condensation reactions, the reaction solution is used as is, or diluted or concentrated. The resultant reaction solution can be neutralized or treated with an ion-exchange resin, to thereby remove the hydrolysis catalyst (e.g., an acid or a base) used for the hydrolysis and condensation. Before or after such a treatment, alcohols (i.e., by-products), water, the used hydrolysis catalyst, etc. can be removed from the reaction solution through, for example, distillation under reduced pressure.

The thus-prepared hydrolysis condensate (hereinafter may be referred to as “polysiloxane”) is in the form of a polysiloxane varnish dissolved in an organic solvent. The polysiloxane varnish may be used as is as the resist underlayer film-forming composition described below. The resultant polysiloxane varnish may be subjected to solvent replacement, or may be appropriately diluted with a solvent. If the resultant polysiloxane varnish does not exhibit poor storage stability, the organic solvent may be distilled off to thereby achieve a solid content concentration of 100%.

The organic solvent used for the solvent replacement or dilution of the polysiloxane varnish may be identical to or different from the organic solvent used for the hydrolysis and condensation reactions of the hydrolyzable silane compound. No particular limitation is imposed on the type of the solvent for dilution, and one solvent or two or more solvents may be arbitrarily selected and used.

[Resist Underlayer Film-Forming Composition]

The resist underlayer film-forming composition of the present invention contains the aforementioned hydrolysis condensate (polysiloxane) of the hydrolyzable silane mixture and a solvent, and may further contain an additional component described below.

The concentration of the solid content in the resist underlayer film-forming composition may be, for example, 0.1 to 50% by mass, 0.1 to 30% by mass, 0.1 to 25% by mass, or 0.5 to 20.0% by mass, relative to the entire mass of the composition. As described above, the “solid content” of the composition refers to all components (except for the solvent component) contained in the composition.

The amount of the hydrolysis condensate of the hydrolyzable silane mixture in the solid content is generally 20% by mass to 100% by mass. From the viewpoint of, for example, achieving the aforementioned effects of the present invention at high reproducibility, the lower limit of the amount of the hydrolysis condensate is preferably 50% by mass, more preferably 60% by mass, still more preferably 70% by mass, much more preferably 80% by mass, and the upper limit of the amount is preferably 99% by mass. The balance may be an additive described below. The amount of the hydrolysis condensate of the hydrolyzable silane mixture in the composition may be, for example, 0.5 to 20.0% by mass.

The resist underlayer film-forming composition preferably has a pH of 2 to 5, more preferably a pH of 3 to 4.

The resist underlayer film-forming composition can be produced by mixing of the aforementioned hydrolysis condensate of the hydrolyzable silane mixture, a solvent, and, as appropriate, an additional component (if incorporated). In this case, a solution containing the hydrolysis condensate, etc. may be previously prepared, and the solution may be mixed with a solvent and an additional component. No particular limitation is imposed on the order of mixing of these components.

For example, a solvent may be added to and mixed with a solution containing the hydrolysis condensate, etc., and an additional component may be added to the resultant mixture. Alternatively, a solution containing the hydrolysis condensate, etc., a solvent, and an additional component may be mixed simultaneously. If necessary, an additional solvent may be finally added, or some components that can be relatively easily dissolved in a solvent may be finally added without being incorporated into the mixture. However, from the viewpoint of preventing aggregation or separation of components to prepare a highly homogeneous composition with high reproducibility, the composition is preferably produced from a previously prepared solution containing the well-dissolved hydrolysis condensate, etc. It should be noted that the hydrolysis condensate, etc. may be aggregated or precipitated when mixed with a solvent or an additional component, depending on, for example, the type or amount of the solvent or the amount or nature of the component. It should also be noted that when a composition is prepared from a solution containing the hydrolysis condensate, etc., the concentration of the solution of the hydrolysis condensate, etc. or the amount of the solution used must be determined so as to achieve a desired amount of the hydrolysis condensate, etc. contained in the finally produced composition.

During preparation of the composition, the composition may be appropriately heated so long as the components are not decomposed or denatured.

In the present invention, the resist underlayer film-forming composition may be filtered with, for example, a submicrometer-order filter during production of the composition or after mixing of all the components.

The resist underlayer film-forming composition of the present invention can be suitably used as a composition for forming a resist underlayer film used for a lithographic process.

[Solvent]

No particular limitation is imposed on the solvent used in the resist underlayer film-forming composition of the present invention, so long as the solvent can dissolve the aforementioned solid content.

No particular limitation is imposed on the type of the solvent, so long as it dissolves the aforementioned hydrolysis condensate of the hydrolyzable silane mixture and an additional component.

Specific examples of the solvent include methylcellosolve acetate, ethylcellosolve acetate, propylene glycol, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy-2-propanol), methyl isobutyl carbinol, propylene glycol monobutyl ether, propylene glycol monomethyl ether acetate (1-methoxy-2-propanol monoacetate), propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, toluene, xylene, methyl ethyl ketone, cyclopentanone, cyclohexanone, ethyl 2-hydroxypropionate, ethyl 2-hydroxy-2-methylpropionate, ethyl ethoxyacetate, ethyl hydroxyacetate, methyl 2-hydroxy-3-methylbutanoate, methyl 3-methoxypropinoate, ethyl 3-methoxypropionate, ethyl 3-ethoxypropionate, methyl 3-ethoxypropionate, methyl pyruvate, ethyl pyruvate, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, diethylene glycol dipropyl ether, diethylene glycol dibutyl ether, propylene glycol monomethyl ether, propylene glycol dimethyl ether, propylene glycol diethyl ether, propylene glycol dipropyl ether, propylene glycol dibutyl ether, ethyl lactate, propyl lactate, isopropyl lactate, butyl lactate, isobutyl lactate, methyl formate, ethyl formate, propyl formate, isopropyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl acetate, ethyl acetate, amyl acetate, isoamyl acetate, hexyl acetate, methyl propionate, ethyl propionate, propyl propionate, isopropyl propionate, butyl propionate, isobutyl propionate, methyl butyrate, ethyl butyrate, propyl butyrate, isopropyl butyrate, butyl butyrate, isobutyl butyrate, ethyl hydroxyacetate, ethyl 2-hydroxy-2-methylpropionate, methyl 3-methoxy-2-methylpropionate, methyl 2-hydroxy-3-methybutyrate, ethyl methoxyacetate, ethyl ethoxyacetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, ethyl 3-methoxypropionate, 3-methoxybutyl acetate, 3-methoxypropyl acetate, 3-methyl-3-methoxybutyl acetate, 3-methyl-3-methoxybutyl propionate, 3-methyl-3-methoxybutyl butyrate, methyl acetoacetate, toluene, xylene, methyl ethyl ketone, methyl propyl ketone, methyl butyl ketone, 2-heptanone, 3-heptanone, 4-heptanone, cyclohexanone, N,N-dimethylformamide,

N-methylacetamide, N,N-dimethylacetamide, N-methyl-2-pyrrolidone, 4-methyl-2-pentanol, and y-butyrolactone. These solvents may be used alone or in combination of two or more species.

The resist underlayer film-forming composition of the present invention may contain water as a solvent. When the composition contains water as a solvent, the amount of water is, for example, 30% by mass or less, preferably 20% by mass or less, more preferably 15% by mass or less, relative to the total mass of the solvents contained in the composition.

[Additional Additive]

The resist underlayer film-forming composition of the present invention may contain various additives depending on the intended use of the composition.

Examples of the additives include known additives incorporated in materials (compositions) for forming various films (e.g., resist underlayer film, anti-reflective coating, and pattern reversing film) that can be used in the production of a semiconductor device, such as a curing catalyst (e.g., an ammonium salt, a phosphine compound, a phosphonium salt, a sulfonium salt, or a nitrogen-containing silane compound), a crosslinking agent, a crosslinking catalyst, a stabilizer (e.g., an organic acid, water, or an alcohol), an organic polymer compound, an acid generator, a surfactant (e.g., a nonionic surfactant, an anionic surfactant, a cationic surfactant, a silicon-containing surfactant, a fluorine-containing surfactant, or a UV-curable surfactant), a pH adjuster, a rheology controlling agent, and an adhesion aid.

Examples of various additives include, but are not limited to, those described below.

<Curing Catalyst>

The aforementioned curing catalyst may be, for example, an ammonium salt, a phosphine compound, a phosphonium salt, or a sulfonium salt. The salt described below as a curing catalyst may be added in the form of a salt, or may be a compound that forms a salt in the aforementioned composition (i.e., a compound that forms a salt in the reaction system, but is in a form different from the salt during addition).

Examples of the ammonium salt include:

a quaternary ammonium salt having a structure of the following Formula (D-1):

(wherein m is an integer of 2 to 11; n is an integer of 2 or 3; R21 is an alkyl group or an aryl group; and Y is an anion);

a quaternary ammonium salt having a structure of the following Formula (D-2):


R22R23R24R25N+Y  Formula (D-2)

(wherein R22, R23, R24, and R25 are each an alkyl group or an aryl group; N is a nitrogen atom; Yis an anion; and each of R22, R23, R24, and R25 is bonded to the nitrogen atom via a C—N bond);

a quaternary ammonium salt having a structure of the following Formula (D-3):

(wherein R26 and R27 are each an alkyl group or an aryl group; N is a nitrogen atom; and Y is an anion);

a quaternary ammonium salt having a structure of the following Formula (D-4):

(wherein R28 is an alkyl group or an aryl group; N is a nitrogen atom; and Y is an anion);

a quaternary ammonium salt having a structure of the following Formula (D-5):

(wherein R29 and R30 are each an alkyl group or an aryl group; N is a nitrogen atom; and Y is an anion); and

a tertiary ammonium salt having a structure of the following Formula (D-6):

(wherein m is an integer of 2 to 11; n is an integer of 2 or 3; H is a hydrogen atom; N is a nitrogen atom; and Y is an anion).

Examples of the phosphonium salt include a quaternary phosphonium salt of the following Formula (D-7):


R31R32R33R34P+Y  Formula (D-7)

(wherein R31, R32, R33, and R34 are each an alkyl group or an aryl group; P is a phosphorus atom; Y is an anion; and each of R31, R32, R33, and R34 is bonded to the phosphorus atom via a C—P bond).

Examples of the sulfonium salt include a tertiary sulfonium salt of the following Formula (D-8):


R35R36R37S+Y  Formula (D-8)

(wherein R35, R36, and R37 are each an alkyl group or an aryl group; S is a sulfur atom; Y is an anion; and each of R35, R36, and R37 is bonded to the sulfur atom via a C—S bond).

The compound of Formula (D-1) is a quaternary ammonium salt derived from an amine. In Formula (D-1), m is an integer of 2 to 11, and n is an integer of 2 or 3. R21 of the quaternary ammonium salt is a C1-18 (preferably C2-10) alkyl group, or a C6-18 aryl group. Examples thereof include linear alkyl groups, such as ethyl group, propyl group, and butyl group, benzyl group, cyclohexyl group, cyclohexylmethyl group, and dicyclopentadienyl group. Examples of the anion (Y) include halide ions, such as chlorine ion (Cl), bromine ion (Br), and iodine ion (I); and acid groups, such as carboxylate (—COO), sulfonate (—SO3), and alcoholate (—O).

The compound of Formula (D-2) is a quaternary ammonium salt having a structure of R22R23R24R25N+Y. R22, R23, R24, and R25 of the quaternary ammonium salt are each a C1-18 alkyl group or a C6-18 aryl group. Examples of the anion (Y) include halide ions, such as chlorine ion (Cl), bromine ion (Br), and iodine ion (I); and acid groups, such as carboxylate (—COO), sulfonate (—SO3), and alcoholate (—O). The quaternary ammonium salt is commercially available, and examples of the quaternary ammonium salt include tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride, tributylbenzylammonium chloride, and trimethylbenzylammonium chloride.

The compound of Formula (D-3) is a quaternary ammonium salt derived from 1-substituted imidazole. The carbon atom number of each of R26 and R27 is 1 to 18, and the total number of carbon atoms of R26 and R27 is preferably 7 or more. Examples of R26 include methyl group, ethyl group, propyl group, phenyl group, and benzyl group. Examples of R27 include benzyl group, octyl group, and octadecyl group. Examples of the anion (Y) include halide ions, such as chlorine ion (Cl), bromine ion (Br), and iodine ion (I); and acid groups, such as carboxylate (—COO), sulfonate (—SO3), and alcoholate (-0). Although this compound is commercially available, the compound may be produced through, for example, reaction between an imidazole compound (e.g., 1-methylimidazole or 1-benzylimidazole) and an alkyl halide or an aryl halide (e.g., benzyl bromide or methyl bromide).

The compound of Formula (D-4) is a quaternary ammonium salt derived from pyridine. In Formula (D-4), R28 is a C1-18 (preferably C4-18) alkyl group, or a C6-18 aryl group. Examples thereof include butyl group, octyl group, benzyl group, and lauryl group. Examples of the anion (Y) include halide ions, such as chlorine ion (Cl), bromine ion (Br), and iodine ion (I); and acid groups, such as carboxylate (—COO), sulfonate (—SO3), and alcoholate (—O). Although this compound is commercially available, the compound may be produced through, for example, reaction between pyridine and an alkyl halide or an aryl halide, such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, or octyl bromide. Examples of this compound include N-laurylpyridinium chloride and N-benzylpyridinium bromide.

The compound of Formula (D-5) is a quaternary ammonium salt derived from a substituted pyridine, such as picoline. In Formula (D-5), R29 is a C1-18 (preferably C4-18) alkyl group, or a C6-18 aryl group. Examples thereof include methyl group, octyl group, lauryl group, and benzyl group. R30 is a C1-18 alkyl group or a C6-18 aryl group, and, for example, R30 is a methyl group when the compound is a quaternary ammonium salt derived from picoline. Examples of the anion (Y) include halide ions, such as chlorine ion (Cl), bromine ion (Br), and iodine ion (I); and acid groups, such as carboxylate (—COO), sulfonate (−SO), and alcoholate (—O). Although this compound is commercially available, the compound may be produced through, for example, reaction between a substituted pyridine (e.g., picoline) and an alkyl halide or an aryl halide, such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, or benzyl bromide. Examples of this compound include N-benzylpicolinium chloride, N-benzylpicolinium bromide, and N-laurylpicolinium chloride.

The compound of Formula (D-6) is a tertiary ammonium salt derived from an amine. In Formula (D-6), m is an integer of 2 to 11, and n is an integer of 2 or 3. Examples of the anion (Y) include halide ions, such as chlorine ion (Cl), bromine ion (Br), and iodine ion (I); and acid groups, such as carboxylate (—COO), sulfonate (—SO3), and alcoholate (—O). The compound may be produced through, for example, reaction between an amine and a weak acid, such as a carboxylic acid or phenol. Examples of the carboxylic acid include formic acid and acetic acid. When formic acid is used, the anion (Y) is (HCOO). When acetic acid is used, the anion (Y) is (CH3COO). When phenol is used, the anion (Y) is (C6H5O).

The compound of Formula (D-7) is a quaternary phosphonium salt having a structure of R31R32R33R34P+Y. R31, R32, R33, and R34 are each a C1-18 alkyl group or a C6-18 aryl group. Three of the four substituents R31 to R34 are preferably a phenyl group or a substituted phenyl group, such as a phenyl group or a tolyl group. The remaining one substituent is a C1-18 alkyl group or a C6-18 aryl group. Examples of the anion (Y) include halide ions, such as chlorine ion (Cl), bromine ion (Br), and iodine ion (I); and acid groups, such as carboxylate (—COO), sulfonate (—SO3), and alcoholate (—O). This compound is commercially available, and examples of the compound include tetraalkylphosphonium halides, such as tetra-n-butylphosphonium halides and tetra-n-propylphosphonium halides; trialkylbenzylphosphonium halides, such as triethylbenzylphosphonium halides; triphenylmonoalkylphosphonium halides, such as triphenylmethylphosphonium halides and triphenylethylphosphonium halides; triphenylbenzylphosphonium halides; tetraphenylphosphonium halides; tritolylmonoarylphosphonium halides; or tritolylmonoalkylphosphonium halides (wherein the halogen atom is a chlorine atom or a bromine atom). Particularly preferred are triphenylmonoalkylphosphonium halides, such as triphenylmethylphosphonium halides and triphenylethylphosphonium halides; triphenylmonoarylphosphonium halides, such as triphenylbenzylphosphonium halides; tritolylmonoarylphosphonium halides, such as tritolylmonophenylphosphonium halides; and tritolylmonoalkylphosphonium halides, such as tritolylmonomethylphosphonium halides (wherein the halogen atom is a chlorine atom or a bromine atom).

Examples of the phosphine compound include primary phosphines, such as methylphosphine, ethylphosphine, propylphosphine, isopropylphosphine, isobutylphosphine, and phenylphosphine; secondary phosphines, such as dimethylphosphine, diethylphosphine, diisopropylphosphine, diisoamylphosphine, and diphenylphosphine; and tertiary phosphines, such as trimethylphosphine, triethylphosphine, triphenylphosphine, methyldiphenylphosphine, and dimethylphenylphosphine.

The compound of Formula (D-8) is a tertiary sulfonium salt having a structure of R35R36R37S+Y. R35, R36, and R37 are each a C1-18 alkyl group or a C6-18 aryl group. Two of the three substituents R35 to R37 are preferably a phenyl group or a substituted phenyl group, such as a phenyl group or a tolyl group. The remaining one substituent is a C1-18 alkyl group or a C6-18 aryl group. Examples of the anion (Y) include halide ions, such as chlorine ion (Cl), bromine ion (Br), and iodine ion (I); and acid groups, such as carboxylate (—COO), sulfonate (—SO3), alcoholate (—O), maleate anion, and nitrate anion. This compound is commercially available, and examples of the compound include trialkylsulfonium halides, such as tri-n-butylsulfonium halides and tri-n-propylsulfonium halides; dialkylbenzylsulfonium halides, such as diethylbenzylsulfonium halides; diphenylmonoalkylsulfonium halides, such as diphenylmethylsulfonium halides and diphenylethylsulfonium halides; triphenylsulfonium halides (wherein the halogen atom is a chlorine atom or a bromine atom); trialkylsulfonium carboxylates, such as tri-n-butylsulfonium carboxylate and tri-n-propylsulfonium carboxylate; dialkylbenzylsulfonium carboxylates, such as diethylbenzylsulfonium carboxylate; diphenylmonoalkylsulfonium carboxylates, such as diphenylmethylsulfonium carboxylate and diphenylethylsulfonium carboxylate; and triphenylsulfonium carboxylate. Triphenylsulfonium halides and triphenylsulfonium carboxylate are preferably used.

In the present invention, a nitrogen-containing silane compound may be added as a curing catalyst. Examples of the nitrogen-containing silane compound include silane compounds containing an imidazole ring, such as N-(3-triethoxysilylpropyl)-4,5-dihydroimidazole.

When a curing catalyst is used, the amount of the curing catalyst is 0.01 parts by mass to 10 parts by mass, or 0.01 parts by mass to 5 parts by mass, or 0.01 parts by mass to 3 parts by mass relative to 100 parts by mass of the polysiloxane.

<Stabilizer>

The stabilizer may be incorporated for the purpose of, for example, stabilization of the aforementioned hydrolysis condensate of the hydrolyzable silane mixture. Specific examples of the stabilizer that may be incorporated include an organic acid, water, an alcohol, or any combination of these.

Examples of the organic acid include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, lactic acid, and salicylic acid. Of these, oxalic acid or maleic acid is preferred. When an organic acid is incorporated, the amount thereof is 0.1 to 5.0% by mass relative to the mass of the aforementioned hydrolysis condensate of the hydrolyzable silane mixture. Such an organic acid may also serve as a pH adjuster.

The water may be, for example, pure water, ultrapure water, or ion-exchange water. When water is used, the amount thereof may be 1 part by mass to 20 parts by mass relative to 100 parts by mass of the resist underlayer film-forming composition.

The alcohol is preferably an alcohol that easily evaporates (volatilizes) by heating after application of the composition. Examples of the alcohol include methanol, ethanol, propanol, i-propanol, and butanol. When an alcohol is incorporated, the amount thereof may be 1 part by mass to 20 parts by mass relative to 100 parts by mass of the resist underlayer film-forming composition.

<Organic Polymer>

Incorporation of the aforementioned organic polymer compound into the resist underlayer film-forming composition enables adjustment of, for example, the dry etching rate (the amount of reduction in film thickness per unit time), attenuation coefficient, or refractive index of a film (resist underlayer film) formed from the composition. No particular limitation is imposed on the organic polymer compound, and it is appropriately selected from among various organic polymers (polycondensation polymer and addition polymerization polymer) depending on the purpose of addition thereof.

Specific examples of the organic polymer compound include addition polymerization polymers and polycondensation polymers, such as polyester, polystyrene, polyimide, acrylic polymer, methacrylic polymer, polyvinyl ether, phenol novolac, naphthol novolac, polyether, polyamide, and polycarbonate.

In the present invention, an organic polymer having an aromatic or heteroaromatic ring that functions as a light-absorbing moiety (e.g., a benzene ring, a naphthalene ring, an anthracene ring, a triazine ring, a quinoline ring, or a quinoxaline ring) can also be suitably used in the case where such a function is required. Specific examples of such an organic polymer compound include, but are not limited to, addition polymerization polymers containing, as structural units, addition polymerizable monomers (e.g., benzyl acrylate, benzyl methacrylate, phenyl acrylate, naphthyl acrylate, anthryl methacrylate, anthrylmethyl methacrylate, styrene, hydroxystyrene, benzyl vinyl ether, and N-phenylmaleimide); and polycondensation polymers such as phenol novolac and naphthol novolac.

When an addition polymerization polymer is used as an organic polymer compound, the polymer compound may be a homopolymer or a copolymer.

An addition polymerizable monomer is used for the production of the addition polymerization polymer. Specific examples of the addition polymerizable monomer include, but are not limited to, acrylic acid, methacrylic acid, an acrylate ester compound, a methacrylate ester compound, an acrylamide compound, a methacrylamide compound, a vinyl compound, a styrene compound, a maleimide compound, maleic anhydride, and acrylonitrile.

Specific examples of the acrylate ester compound include, but are not limited to, methyl acrylate, ethyl acrylate, normal hexyl acrylate, i-propyl acrylate, cyclohexyl acrylate, benzyl acrylate, phenyl acrylate, anthrylmethyl acrylate, 2-hydroxyethyl acrylate, 3-chloro-2-hydroxypropyl acrylate, 2-hydroxypropyl acrylate, 2,2,2-trifluoroethyl acrylate, 2,2,2-trichloroethyl acrylate, 2-bromoethyl acrylate, 4-hydroxybutyl acrylate, 2-methoxyethyl acrylate, tetrahydrofurfuryl acrylate, 2-methyl-2-adamantyl acrylate, 5-acryloyloxy-6-hydroxynorbornene-2-carboxylic-6-lactone, 3-acryloxypropyltriethoxysilane, and glycidyl acrylate.

Specific examples of the methacrylate ester compound include, but are not limited to, methyl methacrylate, ethyl methacrylate, normal hexyl methacrylate, i-propyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, phenyl methacrylate, anthrylmethyl methacrylate, 2-hydroxyethyl methacrylate, 2-hydroxypropyl methacrylate, 2,2,2-trifluoroethyl methacrylate, 2,2,2-trichloroethyl methacrylate, 2-bromoethyl methacrylate, 4-hydroxybutyl methacrylate, 2-methoxyethyl methacrylate, tetrahydrofurfuryl methacrylate, 2-methyl-2-adamantyl methacrylate, 5-methacryloyloxy-6-hydroxynorbornene-2-carboxylic-6-lactone, 3-methacryloxypropyltriethoxysilane, glycidyl methacrylate, 2-phenylethyl methacrylate, hydroxyphenyl methacrylate, and bromophenyl methacrylate.

Specific examples of the acrylamide compound include, but are not limited to, acrylamide, N-methylacrylamide, N-ethylacrylamide, N-benzylacrylamide, N-phenylacrylamide, N,N-dimethylacrylamide, and N-anthrylacrylamide.

Specific examples of the methacrylamide compound include, but are not limited to, methacrylamide, N-methylmethacrylamide, N-ethylmethacrylamide, N-benzylmethacrylamide, N-phenylmethacrylamide, N,N-dimethylmethacrylamide, and N-anthrylmethacrylamide.

Specific examples of the vinyl compound include, but are not limited to, vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetate, vinyltrimethoxysilane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinylnaphthalene, and vinylanthracene.

Specific examples of the styrene compound include, but are not limited to, styrene, hydroxystyrene, chlorostyrene, bromostyrene, methoxystyrene, cyanostyrene, and acetylstyrene.

Examples of the maleimide compound include, but are not limited to, maleimide, N-methylmaleimide, N-phenylmaleimide, N-cyclohexylmaleimide, N-benzylmaleimide, and N-hydroxyethylmaleimide.

When a polycondensation polymer is used as the polymer, the polymer is, for example, a polycondensation polymer composed of a glycol compound and a dicarboxylic acid compound. Examples of the glycol compound include diethylene glycol, hexamethylene glycol, and butylene glycol. Examples of the dicarboxylic acid compound include succinic acid, adipic acid, terephthalic acid, and maleic anhydride. Examples of the polymer include, but are not limited to, polyesters, polyamides, and polyimides, such as polypyromellitimide, poly(p-phenyleneterephthalamide), polybutylene terephthalate, and polyethylene terephthalate.

When the organic polymer compound contains a hydroxy group, the hydroxy group can be crosslinked with, for example, a hydrolysis condensate.

The organic polymer compound generally has a weight average molecular weight of 1,000 to 1,000,000. In the case of incorporation of the organic polymer compound, from the viewpoints of sufficiently achieving the functional effect of the polymer and preventing the precipitation of the polymer in the composition, the weight average molecular weight may be, for example, 3,000 to 300,000, or 5,000 to 300,000, or 10,000 to 200,000.

These organic polymer compounds may be used alone or in combination of two or more species.

When the resist underlayer film-forming composition of the present invention contains an organic polymer compound, the amount of the organic polymer compound cannot be univocally determined, since the amount should be appropriately determined in consideration of, for example, the function of the organic polymer compound. The amount of the organic polymer compound is generally 1 to 200% by mass relative to the mass of the aforementioned hydrolysis condensate of the hydrolyzable silane mixture. From the viewpoint of, for example, preventing the precipitation of the polymer compound in the composition, the amount is, for example, 100% by mass or less, preferably 50% by mass or less, more preferably 30% by mass or less. From the viewpoint of, for example, sufficiently achieving the effect of the polymer compound, the amount is, for example, 5% by mass or more, preferably 10% by mass or more, more preferably 30% by mass or more.

<Acid Generator>

Examples of the acid generator include a thermal acid generator and a photoacid generator, and a photoacid generator is preferably used.

Examples of the photoacid generator include, but are not limited to, an onium salt compound, a sulfonimide compound, and a disulfonyldiazomethane compound. Examples of the thermal acid generator include, but are not limited to, tetramethylammonium nitrate.

Specific examples of the onium salt compound include, but are not limited to, iodonium salt compounds, such as diphenyliodonium hexafluorophosphate, diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoro normal butanesulfonate, diphenyliodonium perfluoro normal octanesulfonate, diphenyliodonium camphorsulfonate, bis(4-t-butylphenyl)iodonium camphorsulfonate, and bis(4-t-butylphenyl)iodonium trifluoromethanesulfonate; and sulfonium salt compounds, such as triphenylsulfonium hexafluoroantimonate, triphenylsulfonium nonafluoro normal butanesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium trifluoromethanesulfonate, triphenylsulfonium nitrate, triphenylsulfonium trifluoroacetate, triphenylsulfonium maleate, and triphenylsulfonium chloride.

Specific examples of the sulfonimide compound include, but are not limited to, N-(trifluoromethanesulfonyloxy)succinimide, N-(nonafluoro normal butane sulfonyloxy)succinimide, N-(camphorsulfonyloxy)succinimide, and N-(trifluoromethanesulfonyloxy)naphthalimide.

Specific examples of the disulfonyldiazomethane compound include, but are not limited to, bis(trifluoromethylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(phenylsulfonyl)diazomethane, bis(p-toluenesulfonyl)diazomethane, bis(2,4-dimethylbenzenesulfonyl)diazomethane, and methylsulfonyl-p-toluenesulfonyldiazomethane.

When the resist underlayer film-forming composition of the present invention contains an acid generator, the amount of the acid generator cannot be univocally determined, since the amount should be appropriately determined in consideration of, for example, the type of the acid generator. The amount of the acid generator is generally 0.01 to 5% by mass relative to the mass of the aforementioned hydrolysis condensate of the hydrolyzable silane mixture. From the viewpoint of, for example, preventing the precipitation of the acid generator in the composition, the amount is preferably 3% by mass or less, more preferably 1% by mass or less. From the viewpoint of, for example, sufficiently achieving the effect of the acid generator, the amount is preferably 0.1% by mass or more, more preferably 0.5% by mass or more.

These acid generators may be used alone or in combination of two or more species. A photoacid generator and a thermal acid generator may be used in combination.

<Surfactant>

When the resist underlayer film-forming composition is applied onto a substrate, a surfactant effectively prevents formation of, for example, pinholes and striations. Examples of the surfactant include a nonionic surfactant, an anionic surfactant, a cationic surfactant, a silicon-containing surfactant, a fluorine-containing surfactant, and a UV-curable surfactant. Specific examples of the surfactant include, but are not limited to, nonionic surfactants, for example, polyoxyethylene alkyl ethers, such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, and polyoxyethylene oleyl ether, polyoxyethylene alkylallyl ethers, such as polyoxyethylene octylphenol ether and polyoxyethylene nonylphenol ether, polyoxyethylene-polyoxypropylene block copolymers, sorbitan fatty acid esters, such as sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate, and sorbitan tristearate, polyoxyethylene sorbitan fatty acid esters, such as polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, and polyoxyethylene sorbitan tristearate; fluorine-containing surfactants, such as trade names EFTOP (registered trademark) EF301, EF303, and EF352 (available from Mitsubishi Materials Electronic Chemicals Co., Ltd. (former Tohkem Products Corporation)), trade names MEGAFAC (registered trademark) F171, F173, R-08, R-30, R-30N, and R-40LM (available from DIC Corporation), Fluorad FC430 and FC431 (available from 3M Japan Limited), trade name Asahi Guard (registered trademark)

AG710 (available from AGC Inc.), and trade names SURFLON (registered trademark) S-382, SC101, SC102, SC103, SC104, SC105, and SC106 (available from AGC Seimi Chemical Co., Ltd.); and Organosiloxane Polymer KP341 (available from Shin-Etsu Chemical Co., Ltd.).

These surfactants may be used alone or in combination of two or more species.

When the resist underlayer film-forming composition of the present invention contains a surfactant, the amount of the surfactant is generally 0.0001 to 5% by mass, preferably 0.001 to 4% by mass, more preferably 0.01 to 3% by mass, relative to the mass of the aforementioned hydrolysis condensate of the hydrolyzable silane mixture.

<Rheology Controlling Agent>

The rheology controlling agent is added for the purpose of mainly improving the fluidity of the resist underlayer film-forming composition, in particular, improving the uniformity of the thickness of a film to be formed or improving the fillability of the composition into holes through baking. Specific examples of the rheology controlling agent include phthalic acid derivatives, such as dimethyl phthalate, diethyl phthalate, di-i-butyl phthalate, dihexyl phthalate, and butyl i-decyl phthalate; adipic acid derivatives, such as di-normal butyl adipate, di-i-butyl adipate, di-i-octyl adipate, and octyl decyl adipate; maleic acid derivatives, such as di-normal butyl maleate, diethyl maleate, and dinonyl maleate; oleic acid derivatives, such as methyl oleate, butyl oleate, and tetrahydrofurfuryl oleate; and stearic acid derivatives, such as normal butyl stearate and glyceryl stearate.

When such a rheology controlling agent is used, the amount of the rheology controlling agent added to the resist underlayer film-forming composition is generally less than 30% by mass relative to the total solid content of the composition.

<Adhesion Aid>

The adhesion aid is added for the purpose of mainly improving the adhesion between a substrate or a resist and a film (resist underlayer film) formed from the resist underlayer film-forming composition, in particular, suppressing or preventing the peeling of the resist during development. Specific examples of the adhesion aid include chlorosilanes, such as trimethylchlorosilane, dimethylvinylchlorosilane, methyldiphenylchlorosilane, and chloromethyldimethylchlorosilane; alkoxysilanes, such as trimethylmethoxysilane, dimethyldiethoxysilane, methyldimethoxysilane, and dimethylvinylethoxysilane; silazanes, such as hexamethyldisilazane, N,N′-bis(trimethylsilyl)urea, dimethyltrimethylsilylamine, and trimethylsilylimidazole; additional silanes, such as γ-chloropropyltrimethoxysilane, y-aminopropyltriethoxysilane, and γ-glycidoxypropyltrimethoxysilane; heterocyclic compounds, such as benzotriazole, benzimidazole, indazole, imidazole, 2-mercaptobenzimidazole, 2-mercaptobenzothiazole, 2-mercaptobenzoxazole, urazole, thiouracil, mercaptoimidazole, and mercaptopyrimidine; and urea or thiourea compounds, such as 1,1-dimethylurea and 1,3-dimethylurea.

When such an adhesion aid is used, the amount of the adhesion aid added to the resist underlayer film-forming composition is generally less than 5% by mass, preferably less than 2% by mass, relative to the total solid content of the composition.

<pH Adjuster>

The pH adjuster that may be added to the resist underlayer film-forming composition is an acid having one or two or more carboxylate groups, for example, an organic acid described above in <Stabilizer>, bisphenol S, or a bisphenol S derivative. The amount of bisphenol S or a bisphenol S derivative is 0.01 to 20 parts by mass, or 0.01 to 10 parts by mass, or 0.01 to 5 parts by mass, relative to 100 parts by mass of the aforementioned hydrolysis condensate of the hydrolyzable silane mixture.

Specific examples of the bisphenol S or the bisphenol S derivative include, but are not limited to, those described below.

[Pattern Formation Method and Semiconductor Device Production Method]

Next will be described, as one embodiment of the present invention, a pattern formation method using the resist underlayer film-forming composition of the present invention, and a semiconductor device production method.

Firstly, the resist underlayer film-forming composition of the present invention is applied onto a substrate used for the production of a precise integrated circuit device [e.g., a semiconductor substrate such as a silicon wafer coated with a silicon oxide film, a silicon nitride film, or a silicon oxynitride film, a silicon nitride substrate, a quartz substrate, a glass substrate (including alkali-free glass, low alkali glass, or crystallized glass), a glass substrate coated with an ITO (indium tin oxide) film or an IZO (indium zinc oxide) film, a plastic (e.g., polyimide or PET) substrate, a substrate coated with a low dielectric constant material (low-k material), or a flexible substrate] by an appropriate application method with, for example, a spinner or a coater. Thereafter, the composition is cured through baking by heating means (e.g., a hot plate), to thereby form a resist underlayer film. Hereinafter, the term “resist underlayer film” refers to a film formed from the resist underlayer film-forming composition of the present invention.

The baking is performed under appropriately determined conditions; i.e., a baking temperature of 40° C. to 400° C. or 80° C. to 250° C. and a baking time of 0.3 minutes to 60 minutes. Preferably, the baking temperature is 150° C. to 250° C., and the baking time is 0.5 minutes to 2 minutes.

The thus-formed resist underlayer film has a thickness of, for example, 10 nm to 1,000 nm, or 20 nm to 500 nm, or 50 nm to 300 nm, or 100 nm to 200 nm, or 10 to 150 nm.

In the present embodiment of the present invention, an organic underlayer film is formed on the aforementioned substrate, and then the resist underlayer film is formed on the organic underlayer film. However, in some embodiments, such an organic underlayer film may be omitted. No particular limitation is imposed on the organic underlayer film used herein, and the organic underlayer film may be arbitrarily selected from among those conventionally used in a lithographic process.

In the present embodiment, the organic underlayer film is formed on the substrate, and the resist underlayer film is formed on the organic underlayer film, followed by formation of a resist film on the resist underlayer film as described below. This constitution can narrow the pattern width of a photoresist film. Thus, even when the photoresist film is formed thinly for preventing pattern collapse, the substrate can be processed through selection of an appropriate etching gas described below. For example, the resist underlayer film of the present invention can be processed by using, as an etching gas, a fluorine-containing gas that achieves a significantly high etching rate for the photoresist film. The organic underlayer film can be processed by using, as an etching gas, an oxygen-containing gas that achieves a significantly high etching rate for the resist underlayer film of the present invention. The substrate can be processed by using, as an etching gas, a fluorine-containing gas that achieves a significantly high etching rate for the organic underlayer film.

The substrate and application method usable in this process are the same as those described above.

Subsequently, a layer of, for example, a photoresist material (resist film) is formed on the aforementioned resist underlayer film. The resist film can be formed by a well-known method. Specifically, the resist film can be formed by application of a coating-type resist material (e.g., a photoresist film-forming composition) onto the resist underlayer film, and subsequent baking of the resist material.

The resist film has a thickness of, for example, 10 nm to 10,000 nm, or 100 nm to 2,000 nm, or 200 nm to 1,000 nm, or 30 nm to 200 nm.

No particular limitation is imposed on the photoresist material used for the resist film formed on the resist underlayer film, so long as the material is sensitive to light used for exposure (e.g., KrF excimer laser or ArF excimer laser). The material may be either of negative photoresist and positive photoresist materials. Examples of the material include, but are not limited to, a positive photoresist material formed of a novolac resin and a 1,2-naphthoquinone diazide sulfonic acid ester; a chemically amplified photoresist material formed of a binder having a group that decomposes with an acid to thereby increase an alkali dissolution rate and a photoacid generator; a chemically amplified photoresist material formed of a low-molecular-weight compound that decomposes with an acid to thereby increase the alkali dissolution rate of the photoresist material, an alkali-soluble binder, and a photoacid generator; and a chemically amplified photoresist material formed of a binder having a group that decomposes with an acid to thereby increase an alkali dissolution rate, a low-molecular-weight compound that decomposes with an acid to thereby increase the alkali dissolution rate of the photoresist material, and a photoacid generator.

Specific examples of commercially available products include, but are not limited to, trade name APEX-E (available from Shipley), trade name PAR710 (available from Sumitomo Chemical Company, Limited), trade name AR2772JN (available from JSR Corporation), and trade name SEPR430 (available from Shin-Etsu Chemical Co., Ltd.).

Other examples include fluorine atom-containing polymer-based photoresist materials described in, for example, Proc. SPIE, Vol. 3999, 330-334 (2000), Proc. SPIE, Vol. 3999, 357-364 (2000), and Proc. SPIE, Vol. 3999, 365-374 (2000).

The resist film formed on the aforementioned resist underlayer film may be, in place of the photoresist film, a resist film for electron beam lithography (may also be referred to as “electron beam resist film”) or a resist film for EUV lithography (may also be referred to as “EUV resist film”). Thus, the silicon-containing resist underlayer film-forming composition of the present invention can be used for formation of a resist underlayer film for electron beam lithography or a resist underlayer film for EUV lithography. In particular, the composition of the present invention is suitable as a composition for formation of a resist underlayer film for EUV lithography.

The electron beam resist material may be either of negative and positive materials. Specific examples of the resist material include a chemically amplified resist material formed of an acid generator and a binder having a group that decomposes with an acid to thereby change an alkali dissolution rate; a chemically amplified resist material formed of an alkali-soluble binder, an acid generator, and a low-molecular-weight compound that decomposes with an acid to thereby change the alkali dissolution rate of the resist material; a chemically amplified resist material formed of an acid generator, a binder having a group that decomposes with an acid to thereby change an alkali dissolution rate, and a low-molecular-weight compound that decomposes with an acid to thereby change the alkali dissolution rate of the resist material; a non-chemically amplified resist material formed of a binder having a group that decomposes with electron beams to thereby change an alkali dissolution rate; and a non-chemically amplified resist material formed of a binder having a moiety that is cut with electron beams to thereby change an alkali dissolution rate. Also in the case of use of such an electron beam resist material, a resist film pattern can be formed by using electron beams as an irradiation source in the same manner as in the case of using the photoresist material.

The EUV resist material may be a methacrylate resin-based resist material.

Subsequently, light exposure is performed on the resist film formed above the resist underlayer film through a predetermined mask (reticle). The light exposure may involve the use of, for example, a KrF excimer laser (wavelength: 248 nm), an ArF excimer laser (wavelength: 193 nm), an F2 excimer laser (wavelength: 157 nm), EUV (wavelength: 13.5 nm), or electron beams. After the light exposure, post exposure bake may be performed if necessary. The post exposure bake is performed under appropriately determined conditions; i.e., a heating temperature of 70° C. to 150° C. and a heating time of 0.3 minutes to 10 minutes.

Subsequently, development is performed with a developer (e.g., an alkaline developer). Thus, when, for example, a positive photoresist film is used, an exposed portion of the photoresist film is removed to thereby form a pattern of the photoresist film.

Examples of the developer (alkaline developer) include alkaline aqueous solutions (alkaline developers), for example, aqueous solutions of alkali metal hydroxides, such as potassium hydroxide and sodium hydroxide; aqueous solutions of quaternary ammonium hydroxides, such as tetramethylammonium hydroxide, tetraethylammonium hydroxide, and choline; and aqueous solutions of amines, such as ethanolamine, propylamine, and ethylenediamine. Such a developer may further contain a surfactant, etc. The development is performed under appropriately determined conditions; i.e., a temperature of 5 to 50° C. and a time of 10 seconds to 600 seconds.

The present invention may involve the use of an organic solvent as a developer. Thus, development is performed with a developer (solvent) after light exposure. Thus, when, for example, a negative photoresist film is used, an unexposed portion of the photoresist film is removed to thereby form a pattern of the photoresist film.

Examples of the developer (organic solvent) include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, ethyl methoxyacetate, ethyl ethoxyacetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopropyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, 2-methoxybutyl acetate, 3-methoxybutyl acetate, 4-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, 3-ethyl-3-methoxybutyl acetate, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate, 2-ethoxybutyl acetate, 4-ethoxybutyl acetate, 4-propoxybutyl acetate, 2-methoxypentyl acetate, 3-methoxypentyl acetate, 4-methoxypentyl acetate, 2-methyl-3-methoxypentyl acetate, 3-methyl-3-methoxypentyl acetate, 3-methyl-4-methoxypentyl acetate, 4-methyl-4-methoxypentyl acetate, propylene glycol diacetate, methyl formate, ethyl formate, butyl formate, propyl formate, ethyl lactate, butyl lactate, propyl lactate, ethyl carbonate, propyl carbonate, butyl carbonate, methyl pyruvate, ethyl pyruvate, propyl pyruvate, butyl pyruvate, methyl acetoacetate, ethyl acetoacetate, methyl propionate, ethyl propionate, propyl propionate, isopropyl propionate, methyl 2-hydroxypropionate, ethyl 2-hydroxypropionate, methyl-3-methoxypropionate, ethyl-3-methoxypropionate, ethyl-3-ethoxypropionate, and propyl-3-methoxypropionate. Such a developer may further contain a surfactant, etc. The development is performed under appropriately determined conditions; i.e., a temperature of 5° C. to 50° C. and a time of 10 seconds to 600 seconds.

The resultant patterned photoresist film (upper layer) is used as a protective film to thereby remove the resist underlayer film (intermediate layer). Subsequently, the patterned photoresist film and the patterned resist underlayer film (intermediate layer) are used as protective films to thereby remove the organic underlayer film (lower layer). Finally, the patterned photoresist film (upper layer), the patterned resist underlayer film (intermediate layer), and the patterned organic underlayer film (lower layer) are used as protective films to thereby process the substrate.

The resist underlayer film (intermediate layer) is removed through dry etching by using the patterned resist film (upper layer) as a protective film. The dry etching can be performed with any of gases, such as tetrafluoromethane (CF4), perfluorocyclobutane (C4F8), perfluoropropane (C3F8), trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine trifluoride, chlorine, trichloroborane, and dichloroborane.

The dry etching of the resist underlayer film is preferably performed with a halogen-containing gas. In general, a resist film (photoresist film) formed of an organic substance is hard to remove by dry etching with a halogen-containing gas. In contrast, the silicon-containing resist underlayer film, which contains numerous silicon atoms, is quickly removed by dry etching with a halogen-containing gas. Therefore, a reduction in the thickness of the photoresist film in association with the dry etching of the resist underlayer film can be suppressed. Consequently, the photoresist film can be used in the form of thin film. Thus, the dry etching of the resist underlayer film is preferably performed with a fluorine-containing gas. Examples of the fluorine-containing gas include, but are not limited to, tetrafluoromethane (CF4), perfluorocyclobutane (C4F8), perfluoropropane (C3F8), trifluoromethane, and difluoromethane (CH2F2).

Subsequently, when the organic underlayer film is present between the substrate and the resist underlayer film, the patterned resist film (upper layer) (if remains) and the patterned resist underlayer film (intermediate layer) are used as protective films to thereby remove the organic underlayer film (lower layer). The organic underlayer film (lower layer) is preferably removed by dry etching with an oxygen-containing gas (e.g., oxygen gas or oxygen/carbonyl sulfide (COS) mixed gas), since the resist underlayer film of the present invention, which contains numerous silicon atoms, is less likely to be removed by dry etching with an oxygen-containing gas.

Finally, the patterned resist underlayer film (intermediate layer) and, if desired, the patterned organic underlayer film (lower layer) are used as protective films to thereby process the (semiconductor) substrate. The (semiconductor) substrate is preferably processed by dry etching with a fluorine-containing gas.

Examples of the fluorine-containing gas include tetrafluoromethane (CF4), perfluorocyclobutane (C4F8), perfluoropropane (C3F8), trifluoromethane, and difluoromethane (CH2F2).

In the present invention, the resist underlayer film can be removed with a chemical after the step of etching (removing) the organic underlayer film. The removal of the resist underlayer film with a chemical may be performed after processing of the substrate with the patterned organic underlayer film. In the present invention, incorporation of the aforementioned silane compound-derived structure containing a succinic anhydride skeleton, etc. into the hydrolysis condensate (polysiloxane) enables an increase in the solubility of a film formed from the condensate under alkaline conditions. For example, the film exhibits solubility in an alkaline solution, such as an aqueous solution containing ammonia and hydrogen peroxide. Conceivably, the promotion of alkali solubility is attributed to a reduction in crosslinking density by the influence of the succinic anhydride skeleton. Thus, the film exhibits good peelability when treated with an alkaline solution. Therefore, a silicon-containing mask residue (e.g., silicon-containing resist underlayer film) can be readily removed with a chemical, and thus the use of the resist underlayer film enables production of a semiconductor device with reduced damage to the substrate.

Examples of the aforementioned chemical include dilute hydrofluoric acid, buffered hydrofluoric acid, an aqueous solution containing hydrochloric acid and hydrogen peroxide (SC-2 chemical), an aqueous solution containing sulfuric acid and hydrogen peroxide (SPM chemical), an aqueous solution containing hydrofluoric acid and hydrogen peroxide (FPM chemical), and an alkaline solution such as an aqueous solution containing ammonia and hydrogen peroxide (SC-1 chemical). From the viewpoint of reducing the influence on the substrate, an alkaline chemical (basic chemical) is preferably used. Examples of the above-described alkaline solution include, besides the aforementioned ammonia-hydrogen peroxide mixture (SC-1 chemical) prepared by mixing of ammonia, hydrogen peroxide, and water, an aqueous solution containing 1 to 99% by mass ammonia, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, choline hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide, DBU (diazabicycloundecene), DBN (diazabicyclononene), hydroxylamine, 1-butyl-1-methylpyrrolidinium hydroxide, 1-propyl-1-methylpyrrolidinium hydroxide, 1-butyl-1-methylpiperidinium hydroxide, 1-propyl-1-methylpiperidinium hydroxide, mepiquat hydroxide, trimethylsulfonium hydroxide, a hydrazine compound, an ethylenediamine compound, or guanidine.

An organic anti-reflective coating may be formed on the resist underlayer film before formation of the resist film. No particular limitation is imposed on the composition used for formation of the anti-reflective coating, and, for example, the composition may be appropriately selected from anti-reflective coating compositions that have been conventionally used in a lithographic process. The anti-reflective coating can be formed by a commonly used method, for example, application of the composition with a spinner or a coater, and subsequent baking of the composition.

The substrate to which the resist underlayer film-forming composition of the present invention is applied may have an organic or inorganic anti-reflective coating formed thereon by, for example, a CVD process. The resist underlayer film may be formed on the anti-reflective coating. Even in the case where the resist underlayer film of the present invention is formed on the organic underlayer film formed on the substrate, the substrate used may have an organic or inorganic anti-reflective coating formed thereon by, for example, a CVD process.

The resist underlayer film formed from the resist underlayer film-forming composition of the present invention may absorb light used in a lithographic process depending on the wavelength of the light. In such a case, the resist underlayer film can function as an anti-reflective coating having the effect of preventing reflection of light from the substrate.

Furthermore, the resist underlayer film can be used as, for example, a layer for preventing the interaction between the substrate and the resist film (e.g., photoresist film); a layer having the function of preventing the adverse effect, on the substrate, of a material used for the resist film or a substance generated during the exposure of the resist film to light; a layer having the function of preventing diffusion of a substance generated from the substrate during heating and baking to the upper resist film; and a barrier layer for reducing a poisoning effect of a dielectric layer of the semiconductor substrate on the resist film.

The resist underlayer film can be applied to a substrate having via holes for use in a dual damascene process, and can be used as an embedding material to fill up the holes. The resist underlayer film can also be used as a planarization material for planarizing the surface of a semiconductor substrate having irregularities.

The resist underlayer film functions as an underlayer film of EUV resist film or a hard mask. In addition, the resist underlayer film can be used as, for example, an anti-reflective underlayer coating of EUV resist film capable of, without intermixing with the EUV resist film, preventing the reflection, from a substrate or an interface, of exposure light undesirable for EUV exposure (wavelength: 13.5 nm), such as UV (ultraviolet) light or DUV (deep ultraviolet) light (ArF light, KrF light). Thus, the resist underlayer film can efficiently prevent the light reflection as the underlayer film of the EUV resist film. When the resist underlayer film is used as an EUV resist underlayer film, the film can be processed in the same manner as in the photoresist underlayer film.

A semiconductor substrate can be preferably processed by using a semiconductor processing substrate including the above-described resist underlayer film of the present invention and a semiconductor substrate.

A semiconductor substrate can be precisely processed at high reproducibility by the above-described semiconductor device production method including a step of forming an organic underlayer film; a step of forming a silicon-containing resist underlayer film on the organic underlayer film from the silicon-containing resist underlayer film-forming composition of the present invention; and a step of forming a resist film on the silicon-containing resist underlayer film. Thus, it is expected that the method can stably produce a semiconductor device.

EXAMPLES

The present invention will next be described in more detail with reference to Synthesis Examples and Examples, but the present invention should not be construed as being limited to the following Examples.

The aforementioned hydrolysis condensate (polyorganosiloxane) of the hydrolyzable silane may have a weight average molecular weight of 1,000 to 1,000,000 or 1,000 to 100,000. The molecular weight is determined by GPC analysis in terms of polystyrene.

The GPC analysis can be performed under, for example, the following conditions: GPC apparatus (trade name: HLC-8220GPC, available from Tosoh Corporation), GPC columns (trade name: Shodex (registered trademark) KF803L, KF802, and KF801, available from Showa Denko K. K.), a column temperature of 40° C., tetrahydrofuran serving as an eluent (elution solvent), a flow amount (flow rate) of 1.0 mL/min, and polystyrene (available from Showa Denko K. K.) as a standard sample.

[1] Synthesis Examples 1 to 19, Comparative Synthesis Examples 1 to 3, and Referential Synthesis Example: Synthesis of Hydrolysis Condensate (Polysiloxane)

Synthesis Example 1

A 100-mL flask was charged with 29.2 g of tetraethoxysilane, 5.8 g of methyltriethoxysilane, 5.1 g of phenyltrimethoxysilane, 2.7 g of 3-(triethoxysilylpropyl)diallyl isocyanurate, 3.3 g of [(3-triethoxysilyl)propyl]succinic anhydride, and 67 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 28 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 1,700 as determined by GPC in terms of polystyrene.

In the chemical formulae described below in Synthesis Examples, Comparative Synthesis Examples, and Referential Synthesis Example, numerals attached to siloxane units denote proportions by mole (total: 100).

Synthesis Example 2

A 100-mL flask was charged with 29.2 g of tetraethoxysilane, 7.7 g of methyltriethoxysilane, 5.1 g of phenyltrimethoxysilane, 2.7 g of 3-(triethoxysilylpropyl)diallyl isocyanurate, and 67 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 28 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 1,900 as determined by GPC in terms of polystyrene.

<Synthesis Example 3

A 100-mL flask was charged with 29.2 g of tetraethoxysilane, 15.0 g of methyltriethoxysilane, 6.7 g of phenyltrimethoxysilane, 3.5 g of 3-(triethoxysilylpropyl)diallyl isocyanurate, 4.3 g of [(3-triethoxysilyl)propyl]succinic anhydride, and 88 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 35 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C. The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 1,600 as determined by GPC in terms of polystyrene.

Synthesis Example 4

A 100-mL flask was charged with 29.2 g of tetraethoxysilane, 17.5 g of methyltriethoxysilane, 6.7 g of phenyltrimethoxysilane, 3.5 g of 3-(triethoxysilylpropyl)diallyl isocyanurate, and 85 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 35 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 1,800 as determined by GPC in terms of polystyrene.

Synthesis Example 5

A 100-mL flask was charged with 31.2 g of tetraethoxysilane, 5.7 g of methyltriethoxysilane, 5.1 g of phenyltrimethoxysilane, 2.7 g of 3-(triethoxysilylpropyl)diallyl isocyanurate, and 67 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 28 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 1,700 as determined by GPC in terms of polystyrene.

Synthesis Example 6

A 100-mL flask was charged with 31.2 g of tetraethoxysilane, 9.1 g of methyltriethoxysilane, 4.6 g of phenyltrimethoxysilane, 2.9 g of 3-(triethoxysilylpropyl)diallyl isocyanurate, and 72 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 30 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,000 as determined by GPC in terms of polystyrene.

Synthesis Example 7

A 100-mL flask was charged with 14.6 g of tetraethoxysilane, 3.8 g of methyltriethoxysilane, 2.1 g of phenyltrimethoxysilane, 2.2 g of 3-(triethoxysilylpropyl)diallyl isocyanurate, and 34 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 14 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 1,800 as determined by GPC in terms of polystyrene.

Synthesis Example 8

A 100-mL flask was charged with 16.7 g of tetraethoxysilane, 3.4 g of vinyltrimethoxysilane, 2.3 g of phenyltrimethoxysilane, and 33 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 15 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,100 as determined by GPC in terms

Synthesis Example 9

A 100-mL flask was charged with 31.2 g of tetraethoxysilane, 6.4 g of vinyltrimethoxysilane, 4.3 g of phenyltrimethoxysilane, 0.7 g of [(3-triethoxysilyl)propyl]succinic anhydride, and 64 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 28 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 1,900 as determined by GPC in terms of polystyrene.

Synthesis Example 10

A 100-mL flask was charged with 29.2 g of tetraethoxysilane, 6.1 g of vinyltrimethoxysilane, 4.1 g of phenyltrimethoxysilane, 1.3 g of [(3-triethoxysilyl)propyl]succinic anhydride, and 61 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 28 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,000 as determined by GPC in terms of polystyrene.

Synthesis Example 11

A 100-mL flask was charged with 16.7 g of tetraethoxysilane, 3.7 g of vinyltrimethoxysilane, 2.4 g of phenyltrimethoxysilane, 1.9 g of [(3-triethoxysilyl)propyl]succinic anhydride, 0.1 g of [3-(N,N-dimethylamino)propyl]trimethoxysilane, and 37 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 16 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,300 as determined by GPC in terms of polystyrene.

Synthesis Example 12

A 100-mL flask was charged with 14.6 g of tetraethoxysilane, 2.2 g of vinyltrimethoxysilane, 2.0 g of phenyltrimethoxysilane, 0.7 g of dimethoxymethylvinylsilane, and 29 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 13 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,100 as determined by GPC in terms of polystyrene.

Synthesis Example 13

A 100-mL flask was charged with 14.6 g of tetraethoxysilane, 3.7 g of vinyltrimethoxysilane, 2.0 g of diphenyldimethoxysilane, and 29 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 13 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,100 as determined by GPC in terms

Synthesis Example 14

A 100-mL flask was charged with 20.8 g of tetraethoxysilane, 4.3 g of vinyltrimethoxysilane, 4.6 g of phenyltrimethoxysilane, 0.5 g of [(3-triethoxysilyl)propyl]succinic anhydride, and 45 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 20 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,300 as determined by GPC in terms of polystyrene.

Synthesis Example 15

A 100-mL flask was charged with 20.8 g of tetraethoxysilane, 4.3 g of vinyltrimethoxysilane, 4.6 g of phenyltrimethoxysilane, 0.5 g of [(3-triethoxysilyl)propyl]succinic anhydride, 0.1 g of [3-(N,N-dimethylamino)propyl]trimethoxysilane, and 45 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 20 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution. After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,500 as determined by GPC in terms of polystyrene.

Synthesis Example 16

A 100-mL flask was charged with 20.8 g of tetraethoxysilane, 4.3 g of vinyltrimethoxysilane, 4.6 g of phenyltrimethoxysilane, 0.5 g of [(3-triethoxysilyl)propyl]succinic anhydride, 0.03 g of [3-(N,N-dimethylamino)propyl]trimethoxysilane, and 45 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 20 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution. After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,300 as determined by GPC in terms of polystyrene.

Synthesis Example 17

A 100-mL flask was charged with 20.8 g of tetraethoxysilane, 4.3 g of vinyltrimethoxysilane, 4.6 g of phenyltrimethoxysilane, 0.5 g of [(3-triethoxysilyl)propyl]succinic anhydride, 0.01 g of [3-(N,N-dimethylamino)propyl]trimethoxysilane, and 45 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 20 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,200 as determined by GPC in terms

Synthesis Example 18

A 100-mL flask was charged with 20.8 g of tetraethoxysilane, 4.4 g of vinyltrimethoxysilane, 4.7 g of phenyltrimethoxysilane, 1.2 g of [(3-triethoxysilyl)propyl]succinic anhydride, 0.03 g of [3-(N,N-dimethylamino)propyl]trimethoxysilane, and 45 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 20 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,000 as determined by GPC in terms

Synthesis Example 19

A 100-mL flask was charged with 20.8 g of tetraethoxysilane, 4.6 g of vinyltrimethoxysilane, 4.9 g of phenyltrimethoxysilane, 2.5 g of [(3-triethoxysilyl)propyl]succinic anhydride, 0.03 g of [3-(N,N-dimethylamino)propyl]trimethoxysilane, and 45 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 20 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,000 as determined by GPC in terms

Comparative Synthesis Example 1

A 100-mL flask was charged with 20.8 g of tetraethoxysilane, 7.6 g of methyltriethoxysilane, and 42 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 19 g of 0.2 mol/L aqueous acetic acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and ethanol (i.e., reaction by-product) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 1,300 as determined by GPC in terms of polystyrene.

Comparative Synthesis Example 2

A 100-mL flask was charged with 12.5 g of tetraethoxysilane, 10.7 g of methyltriethoxysilane, 3.6 g of phenyltrimethoxysilane, 1.9 g of 3-(triethoxysilylpropyl)diallyl isocyanurate, 2.3 g of [(3-triethoxysilyl)propyl]succinic anhydride, and 46 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 18 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 1,800 as determined by GPC in terms of polystyrene.

Comparative Synthesis Example 3

A 100-mL flask was charged with 12.5 g of tetraethoxysilane, 12.0 g of methyltriethoxysilane, 3.6 g of phenyltrimethoxysilane, 1.9 g of 3-(triethoxysilylpropyl)diallyl isocyanurate, and 45 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 18 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and methanol and ethanol (i.e., reaction by-products) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C. The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 1,900 as determined by GPC in terms of polystyrene.

Referential Synthesis Example

A 100-mL flask was charged with 20.8 g of tetraethoxysilane, 7.6 g of methyltriethoxysilane, and 42 g of 1-ethoxy-2-propanol, and then the mixture was stirred. While the resultant solution was stirred with a magnetic stirrer, 19 g of 0.2 mol/L aqueous nitric acid solution was added dropwise to the solution.

After completion of the dropwise addition, the flask was transferred to an oil bath set at 65° C., and reaction was allowed to proceed for 16 hours. Thereafter, the reaction solution was cooled to room temperature, and 100 g of 1-ethoxy-2-propanol was added to the reaction solution. Subsequently, water, nitric acid, and ethanol (i.e., reaction by-product) were distilled off from the reaction solution under reduced pressure, to thereby produce a hydrolysis condensate (polymer) concentrate containing 1-ethoxy-2-propanol as a solvent. The resultant concentrate was found to have a solid content concentration of more than 20% by mass in terms of solid residue content when heated at 150° C.

The resultant polysiloxane (corresponding to the following formula) was found to have a weight average molecular weight (Mw) of 2,700 as determined by GPC in terms of polystyrene.

Examples 1 to 19, Referential Example, and Comparative Example 1: Evaluation of Storage Stability

1-Ethoxy-2-propanol was added to each of the hydrolysis condensate (polymer) concentrates produced in Synthesis Examples 1 to 19, Referential Synthesis Example, and Comparative Synthesis Example 1 so as to achieve a concentration of 13% by mass in terms of solid residue content when heated at 150° C., to thereby produce a hydrolysis condensate (polymer) solution containing propylene glycol monoethyl ether as a solvent (solid content concentration: 13% by mass).

The resultant solution was stored at 35° C. for one week, and the weight average molecular weight of the hydrolysis condensate contained in the solution was measured before and after the storage, to thereby calculate a rate of change (%) in weight average molecular weight. Evaluation “Poor” was given when the rate of change in weight average molecular weight (as calculated from the weight average molecular weight before the storage and that after the storage) was more than 10%, whereas evaluation “Good” was given when the rate of change was 10% or less. The results are shown in Table 1.

TABLE 1 Evaluation No. Concentrate used results Example 1 Synthesis Example 1 Good Example 2 Synthesis Example 2 Good Example 3 Synthesis Example 3 Good Example 4 Synthesis Example 4 Good Example 5 Synthesis Example 5 Good Example 6 Synthesis Example 6 Good Example 7 Synthesis Example 7 Good Example 8 Synthesis Example 8 Good Example 9 Synthesis Example 9 Good Example 10 Synthesis Example 10 Good Example 11 Synthesis Example 11 Good Example 12 Synthesis Example 12 Good Example 13 Synthesis Example 13 Good Example 14 Synthesis Example 14 Good Example 15 Synthesis Example 15 Good Example 16 Synthesis Example 16 Good Example 17 Synthesis Example 17 Good Example 18 Synthesis Example 18 Good Example 19 Synthesis Example 19 Good Referential Referential Synthesis Good Example Example Comparative Comparative Synthesis Poor Example 1 Example 1

[3] Examples 20 to 38 and Comparative Examples 2 to 4: Preparation of Silicon-Containing Resist Underlayer Film-Forming Composition (Coating Liquid) Each of the hydrolysis condensate (polymer) concentrates produced in Synthesis

Examples 1 to 19 and Comparative Synthesis Examples 1 to 3 was mixed with additives and solvents shown in Table 2 in proportions shown in Table 2, and the resultant mixture was filtered with a fluororesin-made filter (pore size: 0.1 μm), to thereby prepare a coating liquid. In Table 2, the amount of each component added is shown by parts by mass.

The amount of each hydrolysis condensate (polymer) shown in Table 2 corresponds not to the amount of the hydrolysis condensate (polymer) concentrate, but to the amount of the polymer itself.

In Table 2, PGEE denotes 1-ethoxy-2-propanol (propylene glycol monoethyl ether); PGMEA, 1-methoxy-2-propanol monoacetate (propylene glycol monomethyl ether acetate; and PGME, 1-methoxy-2-propanol (propylene glycol monomethyl ether).

Furthermore, MA denotes maleic acid; IMID,

N-(3-triethoxysilylpropyl)-4,5-dihydroimidazole, and TPSNO3, triphenylsulfonium nitrate.

TABLE 2 Hydrolysis condensate No. (polymer) Additive 1 Additive 2 Solvent Example 20 Synthesis Example 1 MA IMID PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 21 Synthesis Example 2 MA IMID PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 22 Synthesis Example 3 MA IMID PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 23 Synthesis Example 4 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 24 Synthesis Example 5 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 25 Synthesis Example 6 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 70 10 12 8 Example 26 Synthesis Example 7 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 27 Synthesis Example 8 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 28 Synthesis Example 9 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 29 Synthesis Example 10 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 30 Synthesis Example 11 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 31 Synthesis Example 12 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 32 Synthesis Example 13 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 33 Synthesis Example 14 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 34 Synthesis Example 15 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 35 Synthesis Example 16 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 36 Synthesis Example 17 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 37 Synthesis Example 18 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Example 38 Synthesis Example 19 MA TPSNO3 PGEE PGMEA PGME Water (parts by mass) 2 0.02 0.02 70 10 12 8 Comparative Comparative Synthesis MA TPSNO3 PGEE PGMEA PGME Water Example 2 Example 1 (parts by mass) 2 0.02 0.02 70 10 12 8 Comparative Comparative Synthesis MA TPSNO3 PGEE PGMEA PGME Water Example 3 Example 2 (parts by mass) 2 0.02 0.02 70 10 12 8 Comparative Comparative Synthesis MA TPSNO3 PGEE PGMEA PGME Water Example 4 Example 3 (parts by mass) 2 0.02 0.02 70 10 12 8

[4] Preparation of Organic Underlayer Film-Forming Composition

In a nitrogen atmosphere, a 100-mL four-necked flask was charged with 6.69 g (0.040 mol) of carbazole (available from Tokyo Chemical Industry Co., Ltd.), 7.28 g (0.040 mol) of 9-fluorenone (available from Tokyo Chemical Industry Co., Ltd.), and 0.76 g (0.0040 mol) of p-toluenesulfonic acid monohydrate (available from Tokyo Chemical Industry Co., Ltd.), and then 6.69 g of 1,4-dioxane (available from KANTO CHEMICAL CO., INC.) was added to the flask. The resultant mixture was stirred and heated to 100° C. for dissolution of the solid, to thereby initiate polymerization.

After the elapse of 24 hours, the reaction mixture was left to cool to 60° C., and then the mixture was diluted with 34 g of chloroform (available from KANTO CHEMICAL CO., INC.). The diluted reaction mixture was added dropwise to 168 g of methanol (available from KANTO CHEMICAL CO., INC.) for reprecipitation. The resultant precipitate was recovered by filtration, and the recovered solid was dried at 80° C. for 24 hours, to thereby yield 9.37 g of a target polymer of Formula (X) (hereinafter abbreviated as “PCzFL”).

The results of 1H-NMR analysis of PCzFL were as follows: 1H-NMR (400 MHz, DMSO-d6): δ 7.03-7.55 (br, 12H), δ 7.61-8.10 (br, 4H), 611.18 (br, 1H).

PCzFL was found to have a weight average molecular weight (Mw) of 2,800 as determined by GPC in terms of polystyrene and a polydispersity Mw/Mn of 1.77.

Subsequently, 20 g of PCzFL was mixed with 3.0 g of tetramethoxymethyl glycoluril (trade name: Powderlink 1174, available from Cytec Industries Japan (former Mitsui Cytec Ltd.)) serving as a crosslinking agent, 0.30 g of pyridinium p-toluenesulfonate serving as a catalyst, and 0.06 g of MEGAFAC R-30 (trade name, available from DIC Corporation) serving as a surfactant, and the mixture was dissolved in 88 g of propylene glycol monomethyl ether acetate, to thereby prepare a solution. Thereafter, the solution was filtered with a polyethylene-made microfilter (pore size: 0.10 μm), and then filtered with a polyethylene-made microfilter (pore size: 0.05 μm), to thereby prepare an organic underlayer film-forming composition.

[5] Evaluation of Resist Pattern by ArF Exposure: PTD

The aforementioned organic underlayer film-forming composition was applied onto a silicon wafer with a spinner, and then heated on a hot plate at 240° C. for 60 seconds, to thereby form an organic underlayer film (layer A) (thickness: 200 nm). The coating liquid prepared in Example 20 was applied onto the organic underlayer film by spin coating, and then heated on a hot plate at 215° C. for one minute, to thereby form a silicon-containing resist underlayer film (layer B) (20 nm).

A commercially available resist for ArF (trade name: AR2772JN, available from JSR Corporation) was applied onto the resist underlayer film by spin coating, and then heated on a hot plate at 110° C. for 90 seconds, to thereby form a resist film (layer C) (120 nm). Thereafter, by using a scanner NSR-S307E available from Nikon Corporation (wavelength: 193 nm, NA: 0.85, a: 0.85/0.93), the resist film was exposed to light through a mask designed to achieve a line width of 0.065 μm and an interline width of 0.065 μm (i.e., a 0.065 μm line and space (L/S)=1/1 dense line) in the photoresist after development as described below.

After the light exposure, post exposure bake (at 110° C. for one minute) was performed, and then the resist film was cooled on a cooling plate to room temperature, followed by development with a 2.38% alkaline aqueous solution for 60 seconds and rinsing treatment, to thereby form a resist pattern. Each of the coating liquids prepared in Examples 21 to 38 and Comparative

Examples 2 to 4 was used, and a resist pattern was formed through the same procedure as described above.

Each of the thus-formed resist patterns was evaluated by determining the pattern shape through observation of a cross section of the pattern. Evaluation “Good” was given when pattern collapse (significant pattern peeling, undercut, or a wide-bottomed line (footing)) did not occur, whereas evaluation “Poor” was given when pattern collapse occurred. The results are shown in Table 3.

In the following description, the example number of a used resist underlayer film-forming composition is also used as the example number of evaluation performed with the composition.

[6] Evaluation of Siloxane Bonding Strength Ratio by FT-IR

The coating liquid prepared in Example 20 was applied onto a silicon wafer by spin coating, and then heated on a hot plate at 215° C. for one minute, to thereby form a silicon-containing resist underlayer film (layer B) (120 nm).

A silicon-containing resist underlayer film was formed from each of the coating liquids prepared in Examples 21 to 38 and Comparative Example 2 through the same procedure as described above.

Each of the thus-formed silicon-containing resist underlayer films was subjected to Fourier-transform infrared spectroscopy (FT/IR-6600 (available from JASCO

Corporation)), and the peak intensity of a siloxane bonding observed at a wave number of 1,000 to 1,250 cm' was measured for comparison. For comparison, the peak intensity was normalized by use of the peak intensity (taken as 100) in the silicon-containing resist underlayer film of Comparative Example 2. When the bonding strength ratio is relatively high with respect to Comparative Example 2 (e.g., 90 or more), the solubility tends to be lowered. The results are shown in Table 3.

[7] Evaluation of Removability with SC-1 Chemical (Ammonia/Hydrogen Peroxide Aqueous Solution)

The coating liquid prepared in Example 20 was applied onto a silicon wafer by spin coating, and then heated on a hot plate at 215° C. for one minute, to thereby form a silicon-containing resist underlayer film (layer B) (20 nm).

A silicon-containing resist underlayer film was formed from each of the coating liquids prepared in Examples 21 to 38 and Comparative Example 2 through the same procedure as described above.

The silicon wafer having the thus-formed silicon-containing resist underlayer film was immersed in SC-1 chemical (28% aqueous ammonia/33% hydrogen peroxide water/water=1/1/40 (v/v/v)) with a liquid temperature set at 60° C. for 180 seconds, and then the chemical was rinsed off with water for 60 seconds, followed by drying. Subsequently, the thickness of the silicon-containing resist underlayer film was measured after immersion in the SC-1 chemical, and the rate of change (%) in film thickness was calculated. Evaluation “Good” was given when the rate of change in film thickness (as calculated from the thickness of the silicon-containing resist underlayer film before the immersion and that after the immersion) was 90% or more, whereas evaluation “Poor” was given when the rate of change was less than 90%. The results are shown in Table 3.

[8] Evaluation of Residue after Dry Etching

The aforementioned organic underlayer film-forming composition was applied onto a silicon wafer with a spinner, and then heated on a hot plate at 240° C. for 60 seconds, to thereby form an organic underlayer film (layer A) (thickness: 70 nm). The coating liquid prepared in Example 20 was applied onto the organic underlayer film by spin coating, and then heated on a hot plate at 215° C. for one minute, to thereby form a silicon-containing resist underlayer film (layer B) (20 nm).

Dry etching was performed for 20 seconds with a dry etcher (LAM-2300) available from Lam Research Co., Ltd. with the use of CF4-containing gas, to thereby remove the silicon-containing resist underlayer film (layer B) from the silicon wafer provided with the organic underlayer film. Thereafter, dry etching was performed for 5 seconds with the use of O2/COS-containing gas, to thereby remove the organic underlayer film (layer A).

A silicon-containing resist underlayer film was formed from each of the coating liquids prepared in Examples 21 to 38 and Comparative Examples 3 and 4, and the silicon-containing resist underlayer film (layer B) and the organic underlayer film (layer A) were removed through the same procedure as described above.

After removal of the organic underlayer film (layer A) and the silicon-containing resist underlayer film (layer B), the surface of the silicon wafer was observed with a scanning probe microscope (AFM5000, available from Hitachi High-Tech Corporation). Evaluation “Poor” was given when a protruded etching residue having a width of 0.05 um or more and a height of 2 nm or more was observed, whereas evaluation “Good” was given when such an etching residue was not observed. The results are shown in Table 3.

TABLE 3 Siloxane Hydrolysis bonding Removability Dry etching condensate Pattern strength with SC-1 residue (polymer) shape ratio chemical removability Example 20 Synthesis Example 1 Good  75 Good Good Example 21 Synthesis Example 2 Good  80 Good Good Example 22 Synthesis Example 3 Good  74 Good Good Example 23 Synthesis Example 4 Good  71 Good Good Example 24 Synthesis Example 5 Good  78 Good Good Example 25 Synthesis Example 6 Good  80 Good Good Example 26 Synthesis Example 7 Good  83 Good Good Example 27 Synthesis Example 8 Good  87 Good Good Example 28 Synthesis Example 9 Good  74 Good Good Example 29 Synthesis Example 10 Good  73 Good Good Example 30 Synthesis Example 11 Good  75 Good Good Example 31 Synthesis Example 12 Good  75 Good Good Example 32 Synthesis Example 13 Good  74 Good Good Example 33 Synthesis Example 14 Good  75 Good Good Example 34 Synthesis Example 15 Good  80 Good Good Example 35 Synthesis Example 16 Good  81 Good Good Example 36 Synthesis Example 17 Good  78 Good Good Example 37 Synthesis Example 18 Good  75 Good Good Example 38 Synthesis Example 19 Good  72 Good Good Comparative Comparative Synthesis Poor 100 Poor No Data Example 2 Example 1 (reference) Comparative Comparative Synthesis No Data No Data No Data Poor Example 3 Example 2 Comparative Comparative Synthesis No Data No Data No Data Poor Example 4 Example 3

Claims

1. A resist underlayer film-forming composition comprising a hydrolysis condensate of a hydrolyzable silane mixture containing an alkyltrialkoxysilane and a hydrolyzable silane of the following Formula (1):

R1aR2bSi(R3)4−(a+b)   (1)
[wherein R1 is a group bonded to the silicon atom, and is an organic group containing at least one group or skeleton selected from the group consisting of a succinic anhydride skeleton, an alkenyl group, an aryl group, and a group of the following Formula (1-2):
of the ketone group in each of the following Formula (1-4) and (1-5) is bonded to the nitrogen atom bonded to R102 in Formula (1-2):
sustitutable alkenyl group, or an organic group containing an epoxy group or a surronyl group);
R101 is each independently a hydrogen atom, a substitutable alkyl group, a substitutable alkenyl group, or an organic group containing an epoxy group or a sulfonyl group;
R102 is each independently an alkylene group, a hydroxyalkylene group, a sulfide bond (—S—), an ether bond (—O—), or an ester bond (—C(═O)—O— or —O—C(═O)—)];
R2 is a group bonded to the silicon atom, and is each independently a substitutable alkyl group, a substitutable halogenated alkyl group, a substitutable alkoxyalkyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or any combination of these;
R3 is a group or atom bonded to the silicon atom, and is each independently an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom;
a is 1;
b is an integer of 0 to 2; and
4−(a+b) is an integer of 1 to 3], wherein the amount of the alkyltrialkoxysilane contained in the hydrolyzable silane mixture is 0% by mole or more and less than 40% by mole relative to the total amount by mole of all hydrolyzable silanes contained in the hydrolyzable silane mixture.

2. The resist underlayer film-forming composition according to claim 1, wherein R1 is a group bonded to the silicon atom, and is an organic group containing at least one group or skeleton selected from the group consisting of a succinic anhydride skeleton, a vinyl group, a phenyl group, and an isocyanurate skeleton.

3. The resist underlayer film-forming composition according to claim 1 wherein the hydrolyzable silane mixture further contains a hydrolyzable silane of the following Formula (2):

R4cSi(R5)4−c   (2)
(wherein R4 is a group bonded to the silicon atom, and is each independently a substitutable alkyl group, a substitutable halogenated alkyl group, a substitutable alkoxyalkyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or any combination of these;
R5 is a group or atom bonded to the silicon atom, and is each independently an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom; and
c is an integer of 0 to 3).

4. The resist underlayer film-forming composition according to claim 1, wherein the amount of the compound of Formula (1) contained in the hydrolyzable silane mixture is 5% by mole or more relative to the total amount by mole of all hydrolyzable silanes contained in the hydrolyzable silane mixture.

5. The resist underlayer film-forming composition according to claim 4, wherein the compound of Formula (1) comprises a compound wherein R1 is an organic group containing a succinic anhydride skeleton.

6. The resist underlayer film-forming composition according to claim 5, wherein the amount of the compound of Formula (1) wherein R1 is an organic group containing a succinic anhydride skeleton contained in the hydrolyzable silane mixture is 1% by mole or more relative to the total amount by mole of all hydrolyzable silanes contained in the hydrolyzable silane mixture.

7. The resist underlayer film-forming composition according to claim 1, wherein the composition has a pH of 2 to 5.

8. A pattern formation method comprising:

a step of forming an organic underlayer film on a semiconductor substrate;
a step of applying, onto the organic underlayer film, the resist underlayer film-forming composition according to claim 1;
a step of baking the composition, to thereby form a silicon-containing resist underlayer film;
a step of applying a resist film-forming composition onto the silicon-containing resist underlayer film, to thereby form a resist film;
a step of exposing the resist film to light, and developing the resist film, to thereby form a resist pattern;
a step of etching the silicon-containing resist underlayer film with the resist pattern as a mask; and
a step of etching the organic underlayer film with the patterned silicon-containing resist underlayer film as a mask.

9. The pattern formation method according to claim 8, wherein the method further comprises a step of removing the silicon-containing resist underlayer film by a wet process using a chemical after the step of etching the organic underlayer film.

10. The pattern formation method according to claim 9, wherein the chemical is a basic chemical.

Patent History
Publication number: 20230168582
Type: Application
Filed: Apr 30, 2021
Publication Date: Jun 1, 2023
Applicant: NISSAN CHEMICAL CORPORATION (Tokyo)
Inventors: Shuhei SHIGAKI (Toyama-shi), Ken ISHIBASHI (Toyama-shi), Wataru SHIBAYAMA (Toyama-shi)
Application Number: 17/922,553
Classifications
International Classification: G03F 7/075 (20060101); G03F 7/11 (20060101); H01L 21/311 (20060101); H01L 21/033 (20060101); H01L 21/027 (20060101); C08G 77/14 (20060101); C08G 77/26 (20060101); C08G 77/00 (20060101); C09D 183/04 (20060101);