MEMORY DEVICE AND METHOD FOR MANUFACTURING THE SAME
A memory device includes a first pull-down (PD) transistor, a second PD transistor, a first pass-gate (PG) transistor, and a second PG transistor arranged in a first direction and share a first active area, and a first pull-up (PU) transistor, a second PU transistor, a first dielectric structure, and a second dielectric structure arranged in the first direction and share a second active area. The first dielectric structure and a third gate structure of the first PG transistor extend in the second direction and are aligned with each other in the second direction. The second dielectric structure and a fourth gate structure of the second PG transistor extend in the second direction and are aligned with each other in the second direction.
The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs. Hence, semiconductor manufacturing processes need continued improvement.
Static random access memory (SRAM) generally refers to any memory or storage that can retain stored data only when power is applied. SRAM chips may be used towards a variety of different applications requiring different performance characteristics. As IC technologies progress towards smaller technology nodes, gate-all-around (GAA) transistors have been incorporated into SRAMs to reduce chip footprint while maintaining reasonable processing margins. However, designing SRAM chips that include GAA transistors for multiple applications involves complex processes and is often particularly costly. Accordingly, although existing SRAM technologies have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
The present disclosure is generally related to memory devices, and more particularly to memory devices with field-effect transistors (FETs), such as three-dimensional gate-all-around (GAA) transistors, in an integrated circuit (IC) structure. Generally, a GAA transistor may include a plurality of vertically stacked sheets (e.g., nanosheets), wires (e.g., nanowires), or rods (e.g., nanorods) in a channel region of the transistor, thereby allowing better gate control, lowered leakage current, and improved scaling capability for various IC applications.
The gate-all-around (GAA) transistor structures may be patterned by any suitable method. For example, the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the GAA structure.
The present disclosure also relates to layouts and structures thereof of memory devices. More particularly, the present disclosure relates to SRAM cell layout designs and structures. The present disclosure provides a compact SRAM cell design having a width of four poly pitches (the so-called four-poly-pitch SRAM cell) and with metal tracks (or conductors) on both a frontside and a backside of a substrate. Transistors such as gate-all-around (GAA) transistors forming the SRAM cell are fabricated on a frontside of the structure. Some of the metal tracks such as word lines and power supply (Vdd) lines are fabricated on the frontside of the structure. Other metal tracks such as bit lines and ground (Vss) lines are fabricated on the backside of the structure. The bit lines can be made wider than those metal tracks at the frontside, thereby reducing the resistance of the bit lines. Also, the bit lines and the Vss lines are spaced farther apart than those metal tracks at the frontside, thereby reducing the coupling capacitance of the bit lines. The SRAM layout according to the present disclosure is process friendly and lithography friendly, enabling better process margin.
Embodiments of the present disclosure offer advantages over the existing art, though it is understood that other embodiments may offer different advantages, not all advantages are necessarily discussed herein, and no particular advantage is required for all embodiments. For example, embodiments discussed herein include methods and structures including dielectric structures used to replace gate structures of dummy transistors for disabling dummy transistors, instead of cutting active area (or OD (oxide diffusion) area) of dummy transistors. The details of the structure and fabrication methods of the present disclosure are described below in conjunction with the accompanying drawings, which illustrate layouts, structures, and processes of memory device with GAA transistors, according to some embodiments.
Referring to
The gate structures 204-1, 204-2, 204-3, and 204-4 are sometimes referred to as “poly” in some instances as polysilicon may be a material for making the gate structures 204-1, 204-2, 204-3, and 204-4 before it is replaced with metal gates. Since there are four gate structures 204-1, 204-2, 204-3, and 204-4 in the SRAM cell 100A, the SRAM cell 100A is referred to as having a four-poly pitch, thus the term four-poly-pitch SRAM cell.
As shown in
The gate structure 204-1 extends across the active area 202-1 in the top view and engages the active area 202-1 to form the pass-gate transistor PG2; the gate structure 204-2 extends across the active areas 202-1 and 202-2 in the top view and engages the active area 202-1 and 202-2 to form the pull-down transistor PD2 and the pull-up transistor PU2 respectively; the gate structure 204-3 extends across the active areas 202-1 and 202-2 in the top view and engages the active area 202-1 and 202-2 to form the pull-down transistor PD1 and the pull-up transistor PU1 respectively; and the gate structure 204-4 extends across the active area 202-1 in the top view and engages the active area 202-1 to form the pass-gate transistor PG1. Further, the pull-down transistor PD1 and the pull-up transistor PU1 share the gate structure 204-3, and the pull-down transistor PD2 and the pull-up transistor PU2 share the gate structure 204-2, so that the gate structure 204-3 and the gate structure 204-2 are also referred to as common gates.
Since the pass-gate transistor PG1, pull-down transistor PD1, pull-down transistor PD2, and pass-gate transistor PG2 are arranged in the first direction and share (or over) the same active area 202-1, their performance (such as threshold voltage) are more uniform than in approaches where they are formed over different active areas. Similarly, since the pull-up transistors PU1 and PU2 are arranged in the first direction and share (or are over) the same active area 202-2, their performance (such as threshold voltage) are more uniform than in approaches where they are formed over different active areas.
As shown in
The active areas 202-1 and 202-2 may be formed by ion implantation, diffusion, or other doping processes. For example, the active area 202-2 may be doped with n-type dopants, such as phosphorus, arsenic, other n-type dopant, or combinations thereof; and the active region 202-1 may be doped with p-type dopants, such as boron, indium, other p-type dopant, or combinations thereof. The active areas 202-1 and 202-2 may take the form of single well structures, dual-well structures, raised structures, semiconductor fins, or other shapes. In some embodiments, the active area 202-1 has a dimension in the second direction in a range from about 10 nm to about 50 nm. In some embodiments, the active area 202-2 has a dimension in the second direction in a range from about 10 nm to about 15 nm. The dimension of the active area 202-1 in the second direction is greater than the dimension of the active area 202-2 in the second direction. In some embodiments, the active areas 202-1 and 202-2 are GAA-based active areas, where channel regions thereof are formed by vertically stacked n-type semiconductor layers or vertically stacked p-type semiconductor layers, respectively. It is noted that source/drain features are provided over the active areas and are doped with conductivity type opposite to the respective active area. For example, n-type source/drain features are provided over the p-type active region 202-1 for forming the NMOS transistors (e.g., the pass-gate transistor PG1, the pull-down transistor PD1, the pull-down transistor PD2, and the pass-gate transistor PG2), and p-type source/drain features are provided over the n-type active region 202-2 for forming the PMOS transistors (e.g., the pull-up transistors PU1 and PU2).
Still referring to
Referring to
The layout of the SRAM cell 100A further includes vias 212-1 to 212-7 (may be collectively referred to as vias 212) that provide vertical connection. Specifically, the vias 212-1, 212-2, 212-4, and 212-5 provide vertical connection between the gate structures and the metal lines, and the vias 212-3, 212-6, and 212-7 provide vertical connection between the source/drain contacts and the metal lines. For example, the vias 212-1, 212-2, 212-4, and 212-5 are vertically (e.g., in a Z-direction) between the gate structures 204-1 to 204-4 and the metal lines 210-1 to 210-3, and the vias 212-3, 212-6, and 212-7 are vertically between the source/drain contacts 208-1 to 208-3 and the metal lines 210-2 to 210-4.
In some embodiments, the metal line 220-1 is connected to the gate structures 204-1 and 204-4 through the vias 212-1 and 212-2, respectively; the metal line 210-2 is connected to the source/drain contact 208-1 and the gate structure 204-3 through the vias 212-3 and 212-4, respectively; the metal line 210-3 is connected to the source/drain contact 208-2 and the gate structure 204-2 through the vias 212-5 and 212-6, respectively; and the metal line 210-4 is connected to the source/drain contact 208-3 through the vias 212-7. Since the metal line 210-2 is connected to the source/drain contact 208-1 that corresponds to the storage node SNB and the metal line 210-3 is connected to the source/drain contact 208-1 that corresponds to the storage node SNB, the metal lines 210-2 and 210-3 may also be referred to as node lines or node conductors. The metal line 210-1 serves as word line (WL) of the SRAM cell 100A to control the gate structure 204-1 of the pass-gate transistor PG2 and the gate structure 204-4 of the pass-gate transistor PG1, such that the metal line 210-1 may also be referred to as word line or word line conductor. The metal line 210-4 is coupled to the power supply voltage (VDD) (not shown) to serves as voltage node VDD of the SRAM cell 100A to supply voltage to the source/drain contact 208-3 (and further supply voltage to the source/drain feature of the pull-up transistors PU1 and PU2), such that the metal line 210-4 may also be referred to as VDD line, power supply line, or power supply conductor.
Referring to
The layout of the SRAM cell 100A further includes backside vias 216-1, 216-2, and 216-3 (may be collectively referred to as backside vias 216) that connect the metal lines 214-1, 214-2, and 214-3 to the frontside features respectively. Particularly, the backside via 216-1 connects metal line 214-1 to the source/drain feature of the pass-gate transistor PG2; the backside via 216-2 connects metal line 214-2 to the source/drain feature of the pull-down transistors PD1 and PD2; and the backside via 216-3 connects metal line 214-3 to the source/drain feature of the pass-gate transistor PG1.
The metal lines 214-1 and 214-3 respectively serves as complementary bit line (BLB) and bit line (BL), such that the metal line 214-1 may also be referred to as complementary bit line or complementary bit line conductor and the metal line 214-3 may also be referred to as bit line or bit line conductor. The metal line 214-2 is coupled to the power supply voltage (Vss) (not shown) to serves as voltage node Vss of the SRAM cell 100A to supply voltage to the source/drain feature of the pull-down transistors PD1 and PD2, such that the metal line 214-2 may also be referred to as Vss line, power supply line, or power supply conductor.
By moving the metal lines used for BL, BLB, and Vss to the backside of the SRAM cell, the connections between these metal lines and the respective source/drain features of transistors PD1, PD2, PG1, PG2 become shorter in the present embodiment than in approaches where the metal lines used for BL, BLB, and Vss are implemented at the frontside of the SRAM cell. Further, the metal lines used for BL, BLB, and Vss can be made wider in the present embodiment to reduce resistance. Still further, the coupling capacitance between the gate structures and the metal lines used for BL and BLB is practically negligible in the present embodiment and is much smaller than in approaches where the metal lines used for BL and BLB are implemented at the frontside of the memory device.
In one structure, the gate structures 204-1 and 204-2 of the pass-gate transistors PG1 and PG2 extend across two active areas 202-1 and 202-2 in the top view and engage the two active areas 202-1 and 202-2. Such structure additionally forms two dummy transistors arranged with the pull-up transistors PU1 and PU2 in the first direction in addition to the formation of the pass-gate transistors PG1 and PG2. In order to turn off the dummy transistors or make the dummy transistors not to affect other adjacent SRAM cells in the first direction, a cut process is performed to cut the active area 202-2 into segments for each SRAM cell in the same column. Such cut process may use costly lithography and etching processes (e.g., extreme ultraviolet (EUV) processes) and may use an extra mask since it's cannot integrate to the mask of a cut process used for logic devices.
In the present disclosure, the dielectric structures 206-1 and 206-2 are used for replacing the gate structures 204-1 and 204-2 over the active area 202-2 to turn off the dummy transistors or make the dummy transistors fail. Processes for forming the dielectric structures 206-1 and 206-2 are lithography friendly and cost reduction (no EUV processes and extra mask). The processes for forming the dielectric structures 206-1 and 206-2 are discussed in detail below.
Referring to
The layer stack 304 includes semiconductor layers 306 and 308, and the semiconductor layers 306 and 308 are alternately stacked in a third direction (e.g., a Z-direction in
For patterning purposes, the SRAM cell 100A may also include a hard mask layer 310 over the layer stack 304. The hard mask layer 310 may be a single layer or a multilayer. In some embodiments, the hard mask layer 310 is a single layer and includes a silicon germanium layer. In some embodiments, the hard mask layer 310 is a multi-layer and includes a silicon nitride layer and a silicon oxide layer over the silicon nitride layer. In some other embodiments, the hard mask layer 310 is a multi-layer and includes a silicon germanium layer and a silicon layer over the silicon germanium layer.
Referring to
The stacks 312A and 312B may be patterned using suitable processes including double-patterning or multi-patterning processes. For example, in some embodiment, a material layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned material layer using a self-aligned process. The material layer is then removed, and the remaining spacers, or mandrels, may then be used to pattern the stacks 312A and 312B by etching the layer stack 304 and the substrate 302. The etching process may include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes.
Referring to
Then, hard mask layers 408 are formed over the dummy gate material. In some embodiments, the hard mask layers 408 may be formed using photolithography and removal (e.g., etching) processes. In some embodiments, the hard mask layers 408 may include photoresist materials or hard mask materials. In some embodiments, each of the hard mask layers 408 may include multiple layers, such as a silicon nitride layer and a silicon oxide layer. After the formation of the hard mask layers 408, a removal process (e.g., etching) may be performed to remove portions of the dummy gate material for the dummy gate electrodes 406 and the dummy interfacial material for the dummy interfacial layers 404 that do not directly underlie the hard mask layers 408, thereby forming the dummy gate structures 402 each having the dummy interfacial layer 404, the dummy gate electrode 406, and the hard mask layer 408. The dummy interfacial layer 404 may also be referred to as dummy gate dielectric. The dummy gate structures 402 may undergo a gate replacement process through subsequent processing to form the gate structures 204-1 to 204-4 discussed above.
Still referring to
Referring to
Referring to
Still referring to
Referring to
Referring to
In some embodiments, before the formation of the ILD layer 802, a contact etch stop layer (CESL) may be conformally formed on the sidewalls of the gate spacers 410 and over the top surfaces of the source/drain features 702. The ILD layer 802 is then formed over and between the CESL to fill the space between the CESL. The CESL includes a material that is different than ILD layer 802. The CESL may include La2O3, Al2O3, SiOCN, SiOC, SiCN, SiO2, SiC, ZnO, ZrN, Zr2Al3O9, TiO2, TaO2, ZrO2, HfO2, Si3N4, Y2O3, AlON, TaCN, ZrSi, or other suitable material(s); and may be formed by CVD, PVD, ALD, or other suitable methods.
In some embodiments, the ILD layer 802 may be recessed to a level below the top surfaces of the dummy gate structures 402 and an ILD protection layer is then formed over the ILD layer 802 to protect the ILD layer 802 from subsequent etching processes. In some other embodiments, the ILD protection layer includes a dielectric material such as Si3N4, SiCN, SiOCN, SiOC, a metal oxide such as HrO2, ZrO2, hafnium aluminum oxide, and hafnium silicate, or other suitable material, and may be formed by CVD, PVD, ALD, or other suitable methods.
Referring to
Referring to
Referring to
Referring to
Still referring to
Referring to
In some embodiments, interfacial layer may be formed to wrap around the exposed semiconductor layers 308 before the formation of the gate dielectric layers 1102, so that the gate dielectric layers 1102 are separated from semiconductor layers 308 by the interfacial layer. In some embodiments, the interfacial layer may include a dielectric material such as silicon oxide (SiO2), HfSiO, or silicon oxynitride (SiON). The interfacial layer may be formed by chemical oxidation, thermal oxidation, ALD, CVD, and/or other suitable method.
The gate electrodes 1104 are then formed to fill the remaining spaces of the gate trenches 1002, and over the gate dielectric layers 1102 in such a way that the gate electrodes 1104 each wraps around the semiconductor layers 308, the gate dielectric layer 1102, and the interfacial layers (if present). The gate electrode 1104, the gate dielectric layer 1102, and the interfacial layers (if present) may be collectively called as the gate structure 204 wrapping around the semiconductor layers 308. The gate electrodes 1104 each may include a single layer or alternatively a multi-layer structure. In some embodiments, the gate electrode 1104 may include a capping layer, a barrier layer, an n-type work function metal layer, a p-type work function metal layer, and a fill material (not shown).
The capping layer may be formed adjacent to the gate dielectric layer 1102 and may be formed from a metallic material such as TaN, Ti, TiAlN, TiAl, Pt, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ru, Mo, WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations of these, or the like. The metallic material may be deposited using a deposition process such as ALD, CVD, or the like, although any suitable deposition process may be used.
The barrier layer may be formed adjacent the capping layer, and may be formed of a material different from the capping layer. For example, the barrier layer may be formed of a material such as one or more layers of a metallic material such as TiN, TaN, Ti, TiAlN, TiAl, Pt, TaC, TaCN, TaSiN, Mn, Zr, Ru, Mo, WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations of these, or the like. The barrier layer may be deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, or the like, although any suitable deposition process may be used.
The n-type work function metal layer may be formed adjacent to the barrier layer. In some embodiments, the n-type work function metal layer is a material such as W, Cu, AlCu, TiAlC, TiAlN, TiAl, Pt, Ti, TiN, Ta, TaN, Co, Ni, Ag, Al, TaAl, TaAlC, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function materials, or combinations thereof. For example, the n-type work function metal layer may be deposited utilizing ALD, CVD, or the like. However, any suitable materials and processes may be utilized to form the n-type work function metal layer.
The p-type work function metal layer may be formed adjacent to the n-type work function metal layer. In some embodiments, the p-type work function metal layer may be formed from a metallic material such as W, Al, Cu, TiN, Ti, TiAlN, TiAl, Pt, Ta, TaN, Co, Ni, TaC, TaCN, TaSiN, TaSi2, NiSi2, Mn, Zr, ZrSi2, Ru, AlCu, Mo, MoSi2, WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations of these, or the like. Additionally, the p-type work function metal layer may be deposited using a deposition process such as ALD, CVD, or the like, although any suitable deposition process may be used.
As shown in
Referring to
Referring to
The dielectric layers 1304, 1306, 1404, and 1406 may include an etch stop layer, an inter-layer dielectric layer, and other dielectric layers. The etch stop layer may include La2O3, Al2O3, SiOCN, SiOC, SiCN, SiO2, SiC, ZnO, ZrN, Zr2Al3O9, TiO2, TaO2, ZrO2, HfO2, Si3N4, Y2O3, AlON, TaCN, ZrSi, or other suitable material(s); and may be formed by CVD, PVD, ALD, or other suitable methods. The inter-layer dielectric layer may comprise tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fluoride-doped silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials. The inter-layer dielectric layer may be formed by PECVD (plasma enhanced CVD), FCVD (flowable CVD), or other suitable methods.
In some embodiments, each of the vias (including the vias 212-1 to 212-7 and the backside vias 216-1 to 216-3) may include a barrier layer and a metal fill layer over the barrier layer. The barrier layer functions to prevent metal materials of the metal fill layer from diffusing into nearby dielectric layers. The barrier layer may include titanium (Ti), tantalum (Ta), tungsten (W), cobalt (Co), ruthenium (Ru), or a nitride such as titanium nitride (TiN), titanium aluminum nitride (TiAlN), tungsten nitride (WN), tantalum nitride (TaN), or combinations thereof, and may be formed by CVD, PVD, ALD, and/or other suitable processes. The metal fill layer may include tungsten (W), cobalt (Co), molybdenum (Mo), ruthenium (Ru), or other metals, and may be formed by CVD, PVD, ALD, plating, or other suitable processes.
In some embodiments, each of the metal lines (including the metal lines 210-1 to 210-4 and the metal lines 214-1 to 214-3) may be formed using a damascene process, a dual-damascene process, a metal patterning process, or other suitable processes. The metal lines may include tungsten (W), cobalt (Co), molybdenum (Mo), ruthenium (Ru), copper (Cu), aluminum (Al), titanium (Ti), tantalum (Ta), or other metals, and may be deposited by CVD, PVD, ALD, plating, or other suitable processes.
As shown in
Further, the SRAM cell 100A and the SRAM cell 100C share the source/drain contact 208-3, and the SRAM cell 100B and the SRAM cell 100D share the source/drain contact 208-4. In some embodiments, the source/drain contact 208-3 and the source/drain contact 208-4 are connected to metal line 210-4 through vias 212-7 and 212-8, respectively. Therefore, the metal line 210-4 are share by the SRAM cells 100A to 100D to serves as voltage node VDD of the SRAM cell cells 100A to 100D to supply voltage to the pull-up transistors PU1 and PU2 in the SRAM cell cells 100A to 100D.
As shown in
The embodiments disclosed herein relate to memory devices and their manufacturing methods, and more particularly to memory devices comprising a SRAM cell having dielectric structure for turning off the dummy transistors or making the dummy transistors fail. Although not intended to be limiting, embodiments of the present disclosure provide one or more of the following advantages. For example, with the four-poly-pitch SRAM cell layout and with the metal lines used for BL, BLB, and Vss implemented on the backside, SRAM cells of present embodiment have reduced resistance and capacitance. The present disclosure also enables more lithography friendly and cost reduction layout (no EUV processes and extra mask) for the active areas. Embodiments of the present disclosure can be readily integrated into existing semiconductor manufacturing processes.
Thus, one of the embodiments of the present disclosure describes a memory device that includes a first pull-down (PD) transistor, a second PD transistor, a first pass-gate (PG) transistor, and a second PG transistor that are arranged in a first direction and that share a first active area. In some embodiments, the memory device further includes a first pull-up (PU) transistor, a second PU transistor, a first dielectric structure, and a second dielectric structure that are arranged in the first direction and that share a second active area. The first PU transistor and the first PD transistor share a first gate structure that extends in a second direction. The second direction is perpendicular to the first direction. The second PU transistor and the second PD transistor share a second gate structure that extends in the second direction. The first dielectric structure and a third gate structure of the first PG transistor extend in the second direction and are aligned with each other in the second direction. The second dielectric structure and a fourth gate structure of the second PG transistor extend in the second direction and are aligned with each other in the second direction.
In some embodiments, the first dielectric structure is in contact with the third gate structure and the second dielectric structure is in contact with the fourth gate structure.
In some embodiments, the first dielectric structure is separated from the third gate structure in the second direction and the second dielectric structure is separated from the fourth gate structure in the second direction.
In some embodiments, the memory device further includes a node conductor extending in the first direction and between the active area and the second active area in a top view. The node conductor is electrically coupled to a source/drain feature between the second PU transistor and the second dielectric structure.
In some embodiments, an edge of the first dielectric structure is aligned with an edge of the node conductor facing the second active area in the top view.
In some embodiments, an edge of the first dielectric structure is aligned with an edge of the node conductor facing the first active area in the top view.
In some embodiments, an edge of the node conductor facing the second PG transistor is aligned with an edge of the second dielectric structure.
In some embodiments, the node conductor is extended in the first direction across the first dielectric structure and the second dielectric structure in the top view.
In some embodiments, a first SRAM cell includes the first PD transistor, the second PD transistor, the first PG transistor, the second PG transistor, the first PU transistor, and the second PU transistor. The memory device further includes a second SRAM cell that is adjacent to and a mirror image of the first SRAM cell with respect to an axis along the first direction. The first dielectric structure and the second dielectric structure extend continuously from the first SRAM cell to the second SRAM cell.
In some embodiments, the first dielectric structure and the second dielectric structure each has a dimension in the second direction in a range from about 80 nm to about 120 nm.
In some embodiments, a first SRAM cell includes the first PD transistor, the second PD transistor, the first PG transistor, the second PG transistor, the first PU transistor, and the second PU transistor. The memory device further includes a second SRAM cell that is adjacent to and a mirror image of the first SRAM cell with respect to an axis along the second direction. The first active area and the second active area extend continuously from the first SRAM cell to the second SRAM cell.
In another of the embodiments, discussed is a memory device including a first active area and a second active area extending in a first direction and arranged in a second direction perpendicular to the first direction. In some embodiments, the memory device further includes a first gate structure, a second gate structure, a third gate structure, and a fourth gate structure extending in the second direction and arranged in the first direction. The first gate structure and the fourth gate structure are across the first active area in a top view, and the second gate structure and the third gate structure are across the first active area and the second active area in the top view. In some embodiments, the memory device further includes a first dielectric structure and a second dielectric structure across the second active area and extending in the second direction. The second gate structure and the third gate structure are between the first dielectric structure and the second dielectric structure in the first direction. The first dielectric structure is aligned with the first gate structure in the second direction and the second dielectric structure is aligned with the fourth gate structure in the second direction.
In some embodiments, the memory device further includes a power supply conductor extending in the first direction and over the first dielectric structure and the second dielectric structure. The power supply conductor is electrically coupled to a source/drain feature between the second gate structure and the third gate structure.
In some embodiments, the memory device further includes a node conductor extending in the first direction and between the active area and the second active area in the top view, and a contact interface between the first dielectric structure and the first gate structure. The node conductor is electrically coupled to the third gate structure.
In some embodiments, the contact interface is aligned with an edge of the node conductor facing the first active area in the top view.
In some embodiments, the contact interface is aligned with an edge of the node conductor facing the second active area in the top view.
In some embodiments, the first dielectric structure and the second dielectric structure each comprise SiO2, Si3N4, SiON, SiOCN, SiOC, or SiCN.
In some embodiments, the first dielectric structure and the second dielectric structure each has a dimension in the second direction in a range from about 1 nm to about 7 nm.
In yet another of the embodiments, discussed is a method for manufacturing a memory device that includes forming a first stack and a second stack extending in a first direction and arranged in a second direction perpendicular to the first direction. The first stack and the second stack each has first semiconductor layers and second semiconductor layers alternately stacked in a third direction perpendicular to the first direction and the second direction. In some embodiments, the method further includes forming a first dummy gate structure, a second dummy gate structure, a third dummy gate structure, and a fourth dummy gate structure extending in the second direction, arranged in the first direction, and over the first stack and the second stack; forming source/drain features in the first stack and the second stack; removing a portion of the first dummy gate structure and a portion of the fourth dummy gate structure over the second stack to form a first trench and a second trench; removing the first semiconductor layers and the second semiconductor layers in the first trench and the second trench; forming dielectric structures in the first trench and the second trench; removing a remaining portion of the first dummy gate structure, the second dummy gate structure, the third dummy gate structure, a remaining portion of the fourth dummy gate structure, and the first semiconductor layers in the first stack and the second stack to form gate trenches; and forming gate structures in the gate trenches.
In some embodiments, the dielectric structures have a dimension in the first direction in a range from about 1 nm to about 7 nm.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Claims
1. A memory device, comprising:
- a first pull-down (PD) transistor, a second PD transistor, a first pass-gate (PG) transistor, and a second PG transistor arranged in a first direction and share a first active area; and
- a first pull-up (PU) transistor, a second PU transistor, a first dielectric structure, and a second dielectric structure arranged in the first direction and sharing a second active area, wherein:
- the first PU transistor and the first PD transistor share a first gate structure extending in a second direction perpendicular to the first direction;
- the second PU transistor and the second PD transistor share a second gate structure extending in the second direction;
- the first dielectric structure and a third gate structure of the first PG transistor extend in the second direction and are aligned with each other in the second direction; and
- the second dielectric structure and a fourth gate structure of the second PG transistor extend in the second direction and are aligned with each other in the second direction.
2. The memory device of claim 1, wherein the first dielectric structure is in contact with the third gate structure and the second dielectric structure is in contact with the fourth gate structure.
3. The memory device of claim 1, wherein the first dielectric structure is separated from the third gate structure in the second direction and the second dielectric structure is separated from the fourth gate structure in the second direction.
4. The memory device of claim 1, further comprising:
- a node conductor extending in the first direction and between the active area and the second active area in a top view, wherein the node conductor is electrically coupled to a source/drain feature between the second PU transistor and the second dielectric structure.
5. The memory device of claim 4, wherein an edge of the first dielectric structure is aligned with an edge of the node conductor facing the second active area in the top view.
6. The memory device of claim 4, wherein an edge of the first dielectric structure is aligned with an edge of the node conductor facing the first active area in the top view.
7. The memory device of claim 4, wherein an edge of the node conductor facing the second PG transistor is aligned with an edge of the second dielectric structure.
8. The memory device of claim 4, wherein the node conductor is extended in the first direction across the first dielectric structure and the second dielectric structure in the top view.
9. The memory device of claim 1, wherein:
- a first SRAM cell comprises the first PD transistor, the second PD transistor, the first PG transistor, the second PG transistor, the first PU transistor, and the second PU transistor; and
- the memory device further comprises a second SRAM cell that is adjacent to and a mirror image of the first SRAM cell with respect to an axis along the first direction, wherein the first dielectric structure and the second dielectric structure extend continuously from the first SRAM cell to the second SRAM cell.
10. The memory device of claim 9, wherein the first dielectric structure and the second dielectric structure each has a dimension in the second direction in a range from about 80 nm to about 120 nm.
11. The memory device of claim 1, wherein:
- a first SRAM cell comprises the first PD transistor, the second PD transistor, the first PG transistor, the second PG transistor, the first PU transistor, and the second PU transistor; and
- the memory device further comprises a second SRAM cell that is adjacent to and a mirror image of the first SRAM cell with respect to an axis along the second direction, wherein the first active area and the second active area extend continuously from the first SRAM cell to the second SRAM cell.
12. A memory device, comprising:
- a first active area and a second active area extending in a first direction and arranged in a second direction perpendicular to the first direction;
- a first gate structure, a second gate structure, a third gate structure, and a fourth gate structure extending in the second direction and arranged in the first direction, wherein the first gate structure and the fourth gate structure are across the first active area in a top view, and the second gate structure and the third gate structure are across the first active area and the second active area in the top view; and
- a first dielectric structure and a second dielectric structure across the second active area and extending in the second direction,
- wherein the second gate structure and the third gate structure are between the first dielectric structure and the second dielectric structure in the first direction,
- wherein the first dielectric structure is aligned with the first gate structure in the second direction and the second dielectric structure is aligned with the fourth gate structure in the second direction.
13. The memory device of claim 12, further comprising:
- a power supply conductor extending in the first direction and over the first dielectric structure and the second dielectric structure, wherein the power supply conductor is electrically coupled to a source/drain feature between the second gate structure and the third gate structure.
14. The memory device of claim 12, further comprising:
- a node conductor extending in the first direction and between the active area and the second active area in the top view, wherein the node conductor is electrically coupled to the third gate structure; and
- a contact interface between the first dielectric structure and the first gate structure.
15. The memory device of claim 14, wherein the contact interface is aligned with an edge of the node conductor facing the first active area in the top view.
16. The memory device of claim 14, wherein the contact interface is aligned with an edge of the node conductor facing the second active area in the top view.
17. The memory device of claim 12, wherein the first dielectric structure and the second dielectric structure each comprise SiO2, Si3N4, SiON, SiOCN, SiOC, or SiCN.
18. The memory device of claim 11, wherein the first dielectric structure and the second dielectric structure each has a dimension in the second direction in a range from about 1 nm to about 7 nm.
19. A method for manufacturing a memory device, comprising:
- forming a first stack and a second stack extending in a first direction and arranged in a second direction perpendicular to the first direction; wherein the first stack and the second stack each has first semiconductor layers and second semiconductor layers alternately stacked in a third direction perpendicular to the first direction and the second direction;
- forming a first dummy gate structure, a second dummy gate structure, a third dummy gate structure, and a fourth dummy gate structure extending in the second direction, arranged in the first direction, and over the first stack and the second stack;
- forming source/drain features in the first stack and the second stack;
- removing a portion of the first dummy gate structure and a portion of the fourth dummy gate structure over the second stack to form a first trench and a second trench;
- removing the first semiconductor layers and the second semiconductor layers in the first trench and the second trench;
- forming dielectric structures in the first trench and the second trench;
- removing a remaining portion of the first dummy gate structure, the second dummy gate structure, the third dummy gate structure, a remaining portion of the fourth dummy gate structure, and the first semiconductor layers in the first stack and the second stack to form gate trenches; and
- forming gate structures in the gate trenches.
20. The method of claim 18, wherein the dielectric structures have a dimension in the first direction in a range from about 1 nm to about 7 nm.
Type: Application
Filed: May 13, 2022
Publication Date: Nov 16, 2023
Inventors: Ping-Wei WANG (Hsin-Chu), Jui-Wen CHANG (Hsinchu), Feng-Ming CHANG (Zhubei City)
Application Number: 17/743,645