Patents Issued in April 1, 2003
  • Patent number: 6541346
    Abstract: Disclosed is a manufacturing method to fabricate Heterojunction Bipolar Transistors (HBTs) that enables self-alignment of emitter and base metal contact layers with precise sub-micron spacing using a dielectric-assisted metal lift-off process. Such an HBT process relies on the formation of an “H-shaped” dielectric (i.e., Si3N4/SiO2) mask conformally deposited on top of the emitter contact metalization that is used to remove excess base metal through lift-off by a wet chemical HF-based etch. This HBT process also uses a thin selective etch-stop layer buried within the emitter layer to prevent wet chemical over-etching to the base and improves HBT reliability by forming a non-conducting, depleted ledge above the extrinsic base layer. The geometry of the self-aligned emitter and base metal contacts in the HBT insures conformal coverage of dielectric encapsulation films, preferably Si3N4 and/or SiO2, for reliable HBT emitter p-n junction passivation.
    Type: Grant
    Filed: March 20, 2001
    Date of Patent: April 1, 2003
    Inventor: Roger J. Malik
  • Patent number: 6541347
    Abstract: A method of improving planarity of a photoresist. Before coating the photoresist over a silicon oxide layer, modifying a surface of the silicon oxide layer to enhance an adhesion between the silicon oxide layer and the photoresist. The photoresist flows into trenches of the silicon oxide layer, then the photoresist has good planarity, even after performing a baking process.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: April 1, 2003
    Assignee: Nanya Technology Corporation
    Inventors: Tzu Ching Tsai, Han Chih Lin, Hui Min Mao
  • Patent number: 6541348
    Abstract: Gettering layers are formed near element isolation insulating films in an active layer on a buried oxide film. The gettering layers trap mainly heavy metals diffused from the element isolation insulating films into the active layer.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: April 1, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hiroomi Nakajima
  • Patent number: 6541349
    Abstract: A method is provided for planarizing a structure such as a shallow trench isolation region on a semiconductor substrate. A semiconductor substrate is provided having raised and lowered regions with substantially vertical and horizontal surfaces. The lowered regions may correspond to trench regions. The upper regions are covered by a masking layer of nitride having a predetermined thickness. Filler material such as non-conformal high density plasma oxide may be deposited over the horizontal surfaces to a thickness terminating within that of the thickness of the nitride layer. The raised regions of the filler material are then selectively removed in a single planarizing step without removing the filler material in the lowered regions using a fixed abrasive hard polishing pad, as opposed to an abrasive slurry.
    Type: Grant
    Filed: January 18, 2001
    Date of Patent: April 1, 2003
    Assignee: International Business Machines Corporation
    Inventors: Senthilkumar Arthanari, Shaw-Ning Mei, Edward J. Vishnesky
  • Patent number: 6541350
    Abstract: A method for forming shallow trench isolation is disclosed. A pad oxide layer and a mask layer are sequentially formed on a substrate. Afterwards, an opening is formed through the mask layer and the pad oxide layer such that regions of the substrate are exposed. Thereafter, the exposed regions are etched to form trenches inside said substrate. Next, nitrogen ions are implanted into the sidewall of the trenches to form a silicon nitride layer, and then a siliconoxynitride layer is formed inside the sidewall of the trenches. Subsequently, a silicon oxide layer is formed on the siliconoxynitride layer and on the mask layer. The excess portion of the silicon oxide layer over said mask layer is removed to expose the mask layer, and then the mask layer is removed away. Finally, the pad oxide layer is removed by using hydrofluoric acid (HF).
    Type: Grant
    Filed: January 25, 2001
    Date of Patent: April 1, 2003
    Assignee: Macronix International Co., Ltd.
    Inventor: Wei-Wen Chen
  • Patent number: 6541351
    Abstract: A method for limiting divot formation in shallow trench isolation structures. The method includes: providing a trench formed in a silicon region with a deposited oxide; oxidizing a top layer of the silicon region to form a layer of thermal oxide on top of the silicon region; and selectively etching the thermal oxide with respect to the deposited oxide.
    Type: Grant
    Filed: November 20, 2001
    Date of Patent: April 1, 2003
    Assignee: International Business Machines Corporation
    Inventors: Peter H. Bartlau, Marc W. Cantell, Jerome B. Lasky, James D. Weil
  • Patent number: 6541352
    Abstract: Methods are disclosed for manufacturing semiconductor device dies and for removing material from the bottom side of the wafer dies, wherein a contoured surface is provided on the die bottom, such as through an etching process. In addition, methods are disclosed for securing a semiconductor device to a surface. Semiconductor wafers and die are also disclosed having contoured bottom surfaces.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: April 1, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Kurt P. Wachtler
  • Patent number: 6541353
    Abstract: An improved atomic layer doping apparatus is disclosed as having multiple doping regions in which individual monolayer species are first deposited and then dopant atoms contained therein are diffused into the substrate. Each doping region is chemically separated from adjacent doping regions. A loading assembly is programmed to follow pre-defined transfer sequences for moving semiconductor substrates into and out of the respective adjacent doping regions. According to the number of doping regions provided, a plurality of substrates could be simultaneously processed and run through the cycle of doping regions until a desired doping profile is obtained.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Trung T. Doan
  • Patent number: 6541354
    Abstract: A solution containing a cyclic silane compound, which does not contain carbon, and/or a silane compound modified by boron or phosphorus is applied onto a substrate and a silicon precursor film is formed, and the film is then transformed into semiconductor silicon by heat and/or light treatment. Thereby, it is possible to easily produce a silicon film having satisfactory characteristics as an electronic material at low costs, differing from the vacuum process, such as by CVD methods.
    Type: Grant
    Filed: November 30, 2000
    Date of Patent: April 1, 2003
    Assignees: Seiko Epson Corporation, JSR Corporation
    Inventors: Tatsuya Shimoda, Satoru Miyashita, Shunichi Seki, Masahiro Furusawa, Ichio Yudasaka, Yasumasa Takeuchi, Yasuo Matsuki
  • Patent number: 6541355
    Abstract: A method of selective epitaxial growth for a semiconductor device is disclosed. By employing a hydrogen gas as a selectivity promoting gas in addition to a chlorine gas conventionally used, the method can guarantee the selectivity of epitaxial growth and further increase the growth rate of an epitaxial layer. The method begins with loading a semiconductor substrate into a reaction chamber. The substrate has a mask layer, which is selectively formed thereon to define a first portion exposed beyond the mask layer and a second portion covered by the mask layer. Next, a source gas is supplied into the reaction chamber so that the source gas is adsorbed on the first portion and thus the epitaxial layer is selectively formed on the first portion. Then, the selectivity promoting gas including the H2 gas into the reaction chamber, whereby any nucleus of semiconductor material is removed from the mask layer.
    Type: Grant
    Filed: December 28, 2001
    Date of Patent: April 1, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung Jae Joo, Chang Woo Ryoo
  • Patent number: 6541356
    Abstract: A method of forming a silicon-on-insulator (SOI) substrate having a buried oxide region that has a greater content of thermally grown oxide as compared to oxide formed by implanted oxygen ions is provided. Specifically, the inventive SOI substrate is formed by utilizing a method wherein oxygen ions are implanted into a surface of a Si-containing substrate that includes a sufficient Si thickness to allow for subsequent formation of a buried oxide region in the Si-containing substrate which has a greater content of thermally grown oxide as compared to oxide formed by the implanted oxygen ions followed by an annealing step.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: April 1, 2003
    Assignee: International Business Machines Corporation
    Inventors: Keith E. Fogel, Maurice H. Norcott, Devendra K. Sadana
  • Patent number: 6541357
    Abstract: There is disclosed a semiconductor device having: a semiconductor substrate; a first gate electrode constructed of a multi-layered stack member provided in a memory region, formed with memory cells, of a surface area of the semiconductor substrate so that the first gate electrode is insulated by a first insulating layer from the semiconductor substrate; and a second gate electrode provided in a logic region, formed with a logic circuit for controlling at least the memory cells, of the surface area of the semiconductor substrate so that the second gate electrode is insulated by a second insulating layer from the semiconductor substrate, wherein said layer, brought into contact with the first insulating layer, of the first gate electrode and the layer, brought into contact with the second insulating layer, of the second gate electrode, are composed of materials different from each other.
    Type: Grant
    Filed: February 6, 2002
    Date of Patent: April 1, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Satoshi Inaba
  • Patent number: 6541358
    Abstract: A semiconductor device fabrication method of the present invention includes: a step of forming an insulation film on a semiconductor substrate on which a plurality of gate electrodes are formed; a step of applying SOG of HSQ type on the insulation film; a first firing step of firing the resulting substrate at a first temperature in nitrogen atmosphere; a step of forming an oxide film on the SOG of the HSQ type by a CVD method; a step of forming contact holes to expose the semiconductor substrate by removing the insulation film and the SOG of the HSQ type and the oxide film in the regions among a plurality of the gate electrodes; and a second firing step of firing the resulting substrate after the first contact hole formation at a second temperature higher than the first temperature.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: April 1, 2003
    Assignee: NEC Corporation
    Inventor: Susumu Watanabe
  • Patent number: 6541359
    Abstract: A method and apparatus thereof for fabricating an integrated circuit on a laminate having a gate electrode layer over a silicon dioxide layer. Detection of the gate etch endpoint signal is improved by maximizing the use of a faster etching dopant material (e.g., n-type dopant) and minimizing the use of a slower etching dopant material (e.g., p-type dopant) in the gate electrode layer. In one embodiment, a first portion of the gate electrode layer, substantially corresponding only to the location at which a gate is to be formed, is doped with the slower etching dopant material. The remaining portion of the gate electrode layer is doped with the faster etching dopant material; thus, more of the gate electrode layer is doped with the faster etching dopant material than with the slower etching dopant material. A gate mask is aligned over the gate electrode layer, and the unmasked portions of the gate electrode layer are removed using an etchant.
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: April 1, 2003
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Calvin Todd Gabriel, Tammy D. Zheng, Emmanuel de Muizon, Linda A. Leard
  • Patent number: 6541360
    Abstract: A bi-layer trim etch process to form integrated circuit gate structures can include depositing an organic underlayer over a layer of polysilicon, depositing an imaging layer over the organic underlayer, patterning the imaging layer, selectively trim etching the organic underlayer to form a pattern, and removing portions of the polysilicon layer using the pattern formed from the removed portions of organic underlayer. Thus, the use of thin imaging layer, that has high etch selectivity to the organic underlayer, allows the use of trim etch techniques without a risk of resist erosion or the aspect ratio pattern collapse. That, in turn, allows for the formation of the gate pattern with widths less than the widths of the pattern of the imaging layer.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: April 1, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Marina V. Plat, Scott A. Bell, Christopher F. Lyons, Ramkumar Subramanian, Bhanwar Singh
  • Patent number: 6541361
    Abstract: Provided is a method for increasing an etching selectivity of photoresist material. The method initiates with providing a substrate with a developed photoresist layer. The developed photoresist layer on the substrate is formulated to contain a hardening agent. Next, the substrate is exposed to a gas, where the gas is formulated to interact with the hardening agent. A portion of the developed photoresist layer is then converted to a hardened layer where the hardened layer is created by an interaction of the hardening agent with the gas. Some notable advantages of the discussed methods of increasing the selectivity of a photoresist include improved etch profile control. Additionally, by combining fabrication steps such as the hardening of the photoresist in an etch chamber, downstream etching processes may be performed without having to transfer the wafer to an additional chamber, thereby improving wafer throughput while minimizing handling.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: April 1, 2003
    Assignee: Lam Research Corp.
    Inventors: Francis Ko, Sandy Chen, Charlie Lee
  • Patent number: 6541362
    Abstract: One aspect of the invention encompasses a method of forming a semiconductor structure. A patterned line is formed to comprise a first layer and a second layer. The first layer comprises silicon and the second layer comprises a metal. The line has at least one sidewall edge comprising a first-layer-defined portion and a second-layer-defined portion. A third layer is formed along the at least one sidewall edge. The third layer comprises silicon and is along both the first-layered-defined portion of the sidewall edge and the second-layered-defined portion of the sidewall edge. The silicon of the third layer is reacted with the metal of the second layer to form a silicide along the second-layer-defined portion of the sidewall edge. The silicon of the third layer is removed to leave the silicon of the first layer, the metal of the second layer, and the silicide.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn, Luan C. Tran
  • Patent number: 6541363
    Abstract: An antifuse structure of the present invention comprises an antifase layer and a bottom electrode which are immune to the damages caused by harmful processing environment. The three major components of the antifuse—the bottom electrode, the antifuse layer and the top buffer layer are formed consecutively in a friendly manufacturing environment. This antifuse structure can substantially improve the antifuse manufacturability.
    Type: Grant
    Filed: October 31, 1998
    Date of Patent: April 1, 2003
    Inventor: Guobiao Zhang
  • Patent number: 6541364
    Abstract: A mask 11 has a plurality of holes formed at positions corresponding to positions of a plurality of electrode portions 10a on one surface of an object to be processed 10 to mount thereon conductive particles 13, and the plurality of holes are opposite to the plurality of electrode portions 10a formed on the object to be processed. A table 12 has a plurality of holes 12a for sucking the object to be processed 10 from the other surface of the object to be processed 10, and for sucking the particles 13 through the plurality of holes 11a in the mask 11 so that the particles 13 may be mounted on the electrode portions 10a formed on the object to be processed 10. A hopper 14 contains therein the plurality of conductive particles 13, prevents the plurality of conductive particles 13 from adhering to each other, and has a slit portion 17 for dropping the plurality of conductive particles 13 by the self-weight.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: April 1, 2003
    Assignees: Hitachi, Ltd., Hitachi Car Engineering Co., Ltd.
    Inventors: Hideki Mukuno, Jun Matsui, Kaoru Uchiyama, Takayuki Itsuji, Kunio Kondo
  • Patent number: 6541365
    Abstract: The present invention relates generally to a new structure and a method for reducing the cost of producing known good die (KGD). More particularly, the invention encompasses a structure and a method that uses a substrate having solder wettable pads, a chip with attached solder balls, and a thin non-conductive interposer that is assembled between the chip and the substrate. The interposer reduces the cross section of the solder connections from the chip to the substrate where the solder passes through (the holes in) the interposer. This reduced cross-sectional area of the solder connection creates a weak point which allows the chip to be easily sheared off of the substrate after a burn-in and test process. The preferred chips for this invention are flip chips.
    Type: Grant
    Filed: November 14, 2001
    Date of Patent: April 1, 2003
    Inventors: David L. Edwards, Norman J. Dauerer, Glenn G. Daves
  • Patent number: 6541366
    Abstract: A method for improving an adhesion bond between a solder material and an under bump metallization (UBM) layer including providing at least two UBM layers overlying a chip bonding pad including an uppermost UBM layer forming a contact layer for forming a solder bump thereon; depositing a solder bump precursor material overlying the contact layer to form a solder column; exposing the sidewalls of the solder column to include the contact layer sidewalls; oxidizing the contact layer sidewalls to form a contact layer sidewall oxide at a temperature lower than the melting point of the solder bump precursor material; and forming a solder bump by reflowing the precursor material to wet the contact layer surface to exclude the contact layer sidewalls.
    Type: Grant
    Filed: January 8, 2002
    Date of Patent: April 1, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Ming Chin, Fang-Chuang Liu, Chia-Jen Cheng, Hsiu-Mei Yu
  • Patent number: 6541367
    Abstract: The present invention provides a method for depositing nano-porous low dielectric constant films by reacting an oxidizable silicon containing compound or mixture comprising an oxidizable silicon component and an oxidizable non-silicon component having thermally labile groups with nitrous oxide, oxygen, ozone, or other source of reactive oxygen in gas-phase plasma-enhanced reaction. The deposited silicon oxide based film is annealed to form dispersed microscopic voids that remain in a nano-porous silicon oxide based film having a low-density structure. The nano-porous silicon oxide based films are useful for forming layers between metal lines with or without liner or cap layers. The nano-porous silicon oxide based films may also be used as an intermetal dielectric layer for fabricating dual damascene structures.
    Type: Grant
    Filed: January 18, 2000
    Date of Patent: April 1, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Robert P. Mandal
  • Patent number: 6541368
    Abstract: Metal lines of a semiconductor device and methods of forming same are disclosed. During a damascene process filling up a metal line in an insulating film, a low-k layer is used as an insulating film. An anchor groove is formed in one portion of the low-k layer. The anchor groove is filled up with an anchor layer. A metal line is formed, which contacts one or more underlying layers through the anchor layer and the interlayer isolation film. As a result, it is possible to prevent a distortion of a metal line and/or damage to a hard-mask layer, thereby improving device productivity and yield.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: April 1, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyung Jun Kim
  • Patent number: 6541369
    Abstract: A method and apparatus for reducing trapped charges in a semiconductor device having a first layer and a second layer, said method comprising the steps of providing said first layer, flowing a deposition, a dilution and a conversion gas upon said first layer thereby forming a transition layer, phasing out said flow of conversion gas and forming said second layer upon said transition layer. The deposition gas, dilution gas and conversion gas are preferably trimethylsilane, helium and N2O respectively. The method is performed via chemical vapor deposition or plasma enhanced chemical vapor deposition. The apparatus has a first insulating layer, a transition layer disposed upon said first layer and a second insulating layer disposed upon said transition layer. The transition layer improves the adhesion between said first insulating layer and said second insulating layer. A reduction in the amount of electrical charges (i.e.
    Type: Grant
    Filed: December 7, 1999
    Date of Patent: April 1, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Judy Huang, Chris Bencher, Sudha Rathi
  • Patent number: 6541370
    Abstract: Within each of a pair of methods for forming each of a pair of microelectronic fabrications with reduced cracking within each of a pair of silicon oxide dielectric layers there is employed at least one stress reducing layer. The at least one stress reducing layer is formed of a silicon and nitrogen containing dielectric material, such as a silicon nitride dielectric material or a silicon oxynitride dielectric material.
    Type: Grant
    Filed: September 17, 2001
    Date of Patent: April 1, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Tsong Wang, Shi-Wei Wang, Shin-Kai Chen
  • Patent number: 6541371
    Abstract: A method of depositing thin films comprising tantalum, tantalum nitride, and copper for barrier films and seed layers within high aspect ratio openings used for copper interconnects. The barrier films and seed layers are deposited at extremely low temperature conditions wherein the wafer stage temperature of the sputter source is chilled to about −70° C. to about 0° C. Most preferably, the present invention is practiced using a hollow cathode magnetron. The resulting tantalum and/or tantalum nitride barrier films and copper seed layers are superior in surface smoothness, grain size and uniformity such that subsequent filling of the high aspect ratio opening is substantially void-free.
    Type: Grant
    Filed: January 26, 2000
    Date of Patent: April 1, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Kaihan A. Ashtiani, Maximilian A. Biberger, Erich R. Klawuhn, Kwok Fai Lai, Karl B. Levy, J. Patrick Rymer
  • Patent number: 6541372
    Abstract: A simple to manufacture conductor structure is described which requires only a small number of process steps. The conductor structure contains a structured, first insulating layer to which a first passivation layer is applied. A layer of conductive material is applied thereto and in turn a second passivation layer is applied to the layer of conductive material. A hard mask is applied to the second passivation layer. The layer of conductive material is removed in regions defined by the hard mask. The first passivation layer is removed in the regions defined by the hard mask by sputtering and is at least partially deposited again on the side wall of the layer of conductive material.
    Type: Grant
    Filed: March 7, 2001
    Date of Patent: April 1, 2003
    Assignee: Infineon Technologies AG
    Inventors: Stephan Wege, Peter Moll
  • Patent number: 6541373
    Abstract: After a MOS type transistor is formed on the surface of a semiconductor substrate, an interlayer insulating film covering the transistor is formed. The insulating film includes a silicon oxide film made of hydrogen silsesquioxane resin in a ceramic state. After a wiring layer is formed on the insulating film, a silicon oxide film as a surface protection film is formed on the insulating film, covering the wiring layer. In order to reduce process damages, heat treatment is performed 30 minutes at 400° C. in a nitrogen gas atmosphere. With this heat treatment, hydrogen in the silicon oxide film is released and diffuses into the channel region of the transistor to lower interfacial energy levels. Since the silicon nitride film does not transmit hydrogen, it is not necessary for the heat treatment atmosphere to contain hydrogen. A variation in threshold voltages of MOS type transistors can be easily lowered.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: April 1, 2003
    Assignee: Yamaha Corporation
    Inventor: Takahisa Yamaha
  • Patent number: 6541374
    Abstract: The present invention pertains to methods for forming diffusion barrier layers in the context of integrated circuit fabrication. Methods of the invention allow selective deposition of a metal-nitride barrier layer material on a partially fabricated integrated circuit having exposed conductor and dielectric regions and conversion of the metal-nitride barrier material into an effective diffusion barrier layer having low via resistance. In a preferred method using TiN, differential morphology in a single barrier layer deposition is achieved by controlling CVD process conditions. It is believed that the absolute amount of TiN deposited on the conductor is not reduced, but the morphology of is changed so that there is little or no increase in the via resistance after barrier formation. The invention also pertains to novel integrated circuit structures resulting from application of the described methods.
    Type: Grant
    Filed: September 26, 2001
    Date of Patent: April 1, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Tarek Suwwan de Felipe, Michal Danek, Erich Klawuhn, Ronald A. Powell
  • Patent number: 6541375
    Abstract: A ferroelectric thin film capacitor has smooth electrodes permitting comparatively stronger polarization, less fatigue, and less imprint, as the ferroelectric capacitor ages. The smooth electrode surfaces are produced by DC reactive sputtering.
    Type: Grant
    Filed: August 3, 1998
    Date of Patent: April 1, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Shinichiro Hayashi, Koji Arita
  • Patent number: 6541376
    Abstract: The present invention is a film forming method of forming a film of a treatment solution on the front face of a substrate in a treatment chamber including the steps of: supplying the treatment solution to the substrate mounted on a holding member in the treatment chamber in states of gas being supplied into the treatment chamber and of an atmosphere in the treatment chamber being exhausted; and measuring the temperature of the front face of the substrate before the supply of the treatment solution. The measurement of the temperature of the front face of the substrate before the supply of the treatment solution enables the check of the temperature of the front face of the substrate and the temperature distribution. Then, the measured result is compared with a previously obtained ideal temperature distribution for the formation of a film with a uniform thickness, thereby predicting the film thickness of the film which will be formed in the following processing.
    Type: Grant
    Filed: April 9, 2001
    Date of Patent: April 1, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Hiroichi Inada, Shuichi Nagamine
  • Patent number: 6541377
    Abstract: The present invention relates to a method and an apparatus for preparing polysilicon, more specifically to a method and an apparatus for preparing polysilicon in granule form by equipping a fluidized bed reactor with a nozzle that provides an etching gas including hydrogen chloride in order to effectively prevent silicon from depositing on the outlet surfaces of the reaction gas supplying means and to be able to operate the reactor continuously in the bulk production of polysilicon granules.
    Type: Grant
    Filed: August 22, 2001
    Date of Patent: April 1, 2003
    Assignee: Korea Research Institute of Chemical Technology
    Inventors: Young Hee Kim, Yong-Ki Park
  • Patent number: 6541378
    Abstract: Components or solid-state chips having electrical contacts containing copper are laminated to Kapton dielectric film, and through vias are formed down to copper-containing material of the component. A fabrication method is described for making reliable connections to the copper-containing materials. The method includes precoating the copper-containing material with SPIE, together with at least argon plasma cleaning, and possibly fluorine plasma etching, of the vias and copper material exposed at the bottoms of the vias.
    Type: Grant
    Filed: February 13, 2002
    Date of Patent: April 1, 2003
    Assignee: Lockheed Martin Corporation
    Inventors: Donald Franklin Foust, William Francis Nealon
  • Patent number: 6541379
    Abstract: Grooves and holes of high aspect ratio are filled completely and uniformly. After forming connection holes (3) and wiring grooves (4) in a silicon oxide film (2) which is formed on a silicon substrate (1), a TiN film (5) is formed over the entire surface of the semiconductor substrate and a Ti film (6) is formed on the region except for the connection holes (3) and the wiring grooves (4). Then, in a state where the connection holes (3) and the wiring groove (4) are dipped in a plating solution, a plating treatment is carried out under a deposition overvoltage higher than the deposition overvoltage of TiN to copper and lower than the deposition overvoltage of Ti to copper.
    Type: Grant
    Filed: April 4, 2002
    Date of Patent: April 1, 2003
    Assignee: Asahi Kasei Kabushiki Kaisha
    Inventors: Shoichiro Tonomura, Toyohiko Kuno
  • Patent number: 6541380
    Abstract: A method of etching a metal or metal oxide, including a platinum family metal or a platinum family metal oxide. A wafer is first provided which comprises: (a) a semiconductor substrate, (b) a metal or metal oxide layer over the semiconductor substrate, and (c) a titanium containing patterned mask layer having one or more apertures formed therein positioned over the metal or metal oxide layer. The metal or metal oxide is then etched through the apertures in the mask layer by a plasma etching step that uses plasma source gases comprising the following: (a) a gas that comprises one or more carbon-oxygen bonds (for example, CO gas or CO2 gas) and (b) a gas that comprises one or more chlorine atoms (for example, Cl2 gas, carbon tetrachloride gas, silicon tetrachloride gas or boron trichloride gas).
    Type: Grant
    Filed: July 24, 2001
    Date of Patent: April 1, 2003
    Assignee: Applied Materials Inc.
    Inventors: Chentsau Ying, Jeng H. Hwang
  • Patent number: 6541381
    Abstract: A method of using lubricating boundary layers for finishing semiconductor wafers is described. The lubricating boundary layer thickness is controlled to improve finishing and reduce unwanted surface defects. Differential lubricating boundary layer methods are described to differentially finish semiconductor wafers. Planarization and localized finishing can be improved using differential lubricating boundary layer methods of finishing.
    Type: Grant
    Filed: January 22, 2001
    Date of Patent: April 1, 2003
    Assignee: Beaver Creek Concepts Inc
    Inventor: Charles J Molnar
  • Patent number: 6541382
    Abstract: A method for forming shallow trench isolation on a silicon wafer is described wherein a trench is formed using a silicon nitride/pad oxide hardmask having a silicon oxynitride ARC layer over the nitride. After a trench is formed by dry etching, the hardmask is recessed by first selectively recessing the silicon nitride and then exposing the upper corners of the silicon trench by wet etching the pad oxide thereby exposed. A first sacrificial oxidation converts a portion of the silicon oxynitride ARC layer to oxide and rounds off the sharp upper silicon corners of the trench. The sacrificial oxide is removed and a trench lining oxide is grown to a prescribed thickness by a second oxidation which converts the remaining silicon oxynitride into silicon oxide while further rounding the upper silicon trench corners. By converting the entire oxynitride ARC layer to oxide, it becomes possible to planarized the filler oxide into the silicon nitride layer with a CMP process having a high oxide-to-nitride selectivity.
    Type: Grant
    Filed: April 17, 2000
    Date of Patent: April 1, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Juing-Yi Cheng, Syun-Ming Jang
  • Patent number: 6541383
    Abstract: An arrangement for planarizing a surface of a semiconductor wafer. The arrangement includes a planarizing member having a planarizing surface configured to be (i) positioned in contact with and (ii) moved relative to the surface of the semiconductor wafer so as to remove material from the surface of the semiconductor wafer such that the surface of the semiconductor wafer is planarized. The arrangement also includes an adherence promoting ligand chemically bonded to the planarizing surface of the planarizing member. The arrangement further includes an abrasion particle chemically bonded to the adherence promoting ligand such that the abrasion particle is attached to the planarizing surface of the planarizing member. The arrangement also includes a conditioning bar having a conditioning portion positioned in contact with a wafer track defined on the planarizing member. The conditioning portion is configured so that the conditioning portion extends completely across the wafer track.
    Type: Grant
    Filed: June 29, 2000
    Date of Patent: April 1, 2003
    Assignee: LSI Logic Corporation
    Inventors: Derryl D. J. Allman, John W. Gregory
  • Patent number: 6541384
    Abstract: The present invention provides a chemical mechanical polishing composition for planarizing copper and a method for planarizing, or initiating the planarization of, copper using the composition. The chemical mechanical polishing composition includes an oxidizing agent and a copper (II) compound. The composition optionally includes one or more of the following compound types: a complexing agent; a corrosion inhibitor; an acid; and, an abrasive. In one embodiment, the oxidizing agent is hydrogen peroxide, ferric nitrate or an iodate. In another embodiment, the copper (II) compound is CuSO4. The chemical mechanical polishing method involves the step of polishing a copper layer using a composition that includes an oxidizing agent and a copper (II) compound. The composition is formed in a variety of ways. In one embodiment, it is formed by adding the copper (II) compound to a solution containing the oxidizing agent, and any included optional compound types, in deionized water.
    Type: Grant
    Filed: September 8, 2000
    Date of Patent: April 1, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Lizhong Sun, Stan Tsai, Shijian Li, John White
  • Patent number: 6541385
    Abstract: A method of forming an electrode in an integrated circuit includes preparing a silicon-base substrate, including forming semiconductor structures on the substrate to form an integrated substrate structure; depositing a layer of electrode material on a substrate structure; patterning the layer of electrode material to form electrode elements, wherein said patterning includes plasma etching the layer of electrode material in a plasma reactor in an etching gas atmosphere having a fluorine component therein; and cleaning the substrate structure and electrode elements in a distilled water bath.
    Type: Grant
    Filed: May 14, 2001
    Date of Patent: April 1, 2003
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Hong Ying, Fengyan Zhang, Jer-Shen Maa, Sheng Teng Hsu
  • Patent number: 6541386
    Abstract: Provided is a method for producing regularly ordered narrow pores excellent in linearity, and a structure with such narrow pores. A method for producing a narrow pore comprises a step of radiating a particle beam onto a workpiece, and a step of carrying out anodic oxidation of the workpiece having been irradiated with the particle beam, to form a narrow pore in the workpiece.
    Type: Grant
    Filed: January 24, 2001
    Date of Patent: April 1, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshiaki Aiba, Hidetoshi Nojiri, Taiko Motoi, Tohru Den, Tatsuya Iwasaki
  • Patent number: 6541387
    Abstract: A resist layer is deposited atop a substrate and is patterned to expose portions of a substrate. A hardmask layer is deposited atop the patterned resist layer and atop the exposed portions of the substrate. The patterned resist layer is removed so that only a portion of the hardmask layer that is atop the substrate remains.
    Type: Grant
    Filed: January 17, 2002
    Date of Patent: April 1, 2003
    Assignee: Infineon Technologies AG
    Inventor: Xiaochun Linda Chen
  • Patent number: 6541388
    Abstract: A method detects an etching termination time point at which a to-be-processed layer formed on an underlayer is etched using plasma. Two types of light components of different wavelengths are applied to the to-be-processed layer, during plasma etching, thereby causing light to reflect from the surface of the to-be-processed layer and from a boundary between the to-be-processed layer and the underlayer, those waveforms of two reflected light components of different wavelengths and included in the reflected light, which result from interference, are detected. An approximate etching termination time point is detected on the basis of a phase difference between the detected waveforms.
    Type: Grant
    Filed: September 13, 2000
    Date of Patent: April 1, 2003
    Assignee: Tokyo Electron Limited
    Inventor: Susumu Saito
  • Patent number: 6541389
    Abstract: A method of patterning a thin layer into a predetermined shape on the basis of a pattern layer arranged in a thin layer formed in a substrate comprises a first step of soaking the substrate in a first solution to etch off the thin layer on the basis of the pattern layer, a second step of spraying a second solution having the same composition as that of an etching solution used in a third step to wash the substrate, and a third step of soaking the substrate in a third solution to further etch the thin layer.
    Type: Grant
    Filed: December 21, 1999
    Date of Patent: April 1, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akira Kubo, Kiyotsugu Mizouchi, Masahiko Machida
  • Patent number: 6541390
    Abstract: An etching method for use in integrated circuit fabrication includes providing a metal nitride layer on a substrate assembly, providing regions of cobalt silicide on first portions of the metal nitride layer, and providing regions of cobalt on second portions of the metal nitride layer. The regions of cobalt and the second portions of the metal nitride layer are removed with at least one solution including a mineral acid and a peroxide. The mineral acid may be selected from the group including HCl, H2SO4, H3PO4, HNO3, and dilute HF preferably the mineral acid is HCl) and the peroxide may be hydrogen peroxide. Further, the removal of the regions of cobalt and the second portions of the metal nitride layer may include a one step process or a two step process. In the one step process, the regions of cobalt and the second portions of the metal nitride layer are removed with a single solution including the mineral acid and the peroxide.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: April 1, 2003
    Assignee: Micron Technologies, Inc.
    Inventors: Whonchee Lee, Yongjun Jeff Hu
  • Patent number: 6541391
    Abstract: The invention includes a semiconductor processing method of cleaning a surface of a copper-containing material by exposing the surface to a mixture having a basic pH and comprising Cl−, NO3− and F−. The invention also includes a semiconductor processing method of forming an opening to a copper-containing substrate. Initially, a mass is formed over the copper-containing substrate. The mass comprises at least one of a silicon nitride and a silicon oxide. An opening is etched through the mass and to the copper-containing substrate. A surface of the copper-containing substrate defines a base of the opening, and is referred to as a base surface. The base surface of the copper-containing substrate is at least partially covered by at least one of a copper oxide, a silicon oxide or a copper fluoride.
    Type: Grant
    Filed: February 28, 2001
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: David Smith, Kevin J. Torek, Paul A. Morgan
  • Patent number: 6541392
    Abstract: A method for the production of anisotropic, three dimensional thin films is disclosed. Instead of fabricating away from the routine tendency of vacuum sputter deposited thin films to form discontinuous islands which then accrete into the third dimension, the present method encourages this anisotropic formation. By precisely controlling gun voltage and deposition time, together with spectral control over the plasma forming gas and any reactive gas, with accurate substrate temperature control, and real-time feed-back and control over deposition parameters, two or more materials are sequentially grown on a substrate as distinct discontinuous islands. The resultant film maintains the optimum characteristics of each one of the film's components. Other novel structures made possible by the method of the invention include unique single component and post method deposited component anisotropic thin films.
    Type: Grant
    Filed: July 17, 2001
    Date of Patent: April 1, 2003
    Assignee: Technology Ventures, L.L.C.
    Inventors: Yuval C Avniel, Alexander N. Govyadinov, Peter Mardilovich
  • Patent number: 6541393
    Abstract: A semiconductor device is fabricated by a method comprising the steps of: selectively introducing a halogen element or argon into a device region 14 of a silicon substrate 10; and wet oxidizing the silicon substrate 10 in an ambient atmosphere which an H2O partial pressure is less than 1 atm to thereby form a silicon oxide film 22 in the device region 14 of the silicon substrate 10, and a silicon oxide film 24 thinner than the silicon oxide film 22 in a device region 16 of the silicon substrate 10. Whereby the silicon oxide film in a device region 14 with the halogen element or argon introduced can be selectively formed thick. The silicon oxide films are formed by the wet oxidation, whereby the gate insulation films can be more reliable than those formed by the dry oxidation.
    Type: Grant
    Filed: February 9, 2001
    Date of Patent: April 1, 2003
    Assignee: Fujitsu Limited
    Inventors: Taro Sugizaki, Toshiro Nakanishi, Kyoichi Suguro, Atsushi Murakoshi
  • Patent number: 6541394
    Abstract: A method for making an oxide layer on a silicon substrate produces an oxide layer including graded portions with greatly reduced stress. The method includes growing a first oxide portion over a substrate by upwardly ramping the substrate to a first temperature lower than a SiO2 viscoelastic temperature. Thereafter a second oxide portion is grown between the first oxide portion and the silicon substrate by exposing the silicon substrate to an oxidizing ambient at a second temperature higher than the SiO2 viscoelastic temperature. The second oxide portion may have a thickness in a range of about 25 to 50% of a total thickness of the graded oxide layer.
    Type: Grant
    Filed: January 11, 2000
    Date of Patent: April 1, 2003
    Assignee: Agere Systems Guardian Corp.
    Inventors: Yuanning Chen, Sailesh Mansinh Merchant, Pradip Kumar Roy
  • Patent number: 6541395
    Abstract: In accordance with an aspect of the invention, a semiconductor processing method of forming field effect transistors includes forming a first gate dielectric layer over a first area configured for forming p-type field effect transistors and a second area configured for forming n-type field effect transistors, both areas on a semiconductor substrate. The first gate dielectric layer is silicon dioxide having a nitrogen concentration of 0.1% molar to 10.0% molar within the first gate dielectric layer, the nitrogen atoms being higher in concentration within the first gate dielectric layer at one elevational location as compared to another elevational location. The first gate dielectric layer is removed from over the second area while leaving the first gate dielectric layer over the first area, and a second gate dielectric layer is formed over the second area. The second gate dielectric layer is a silicon dioxide material substantially void of nitrogen atoms.
    Type: Grant
    Filed: July 13, 2000
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Jigish D. Trivedi, Zhongze Wang, Rongsheng Yang