Patents Issued in May 6, 2004
-
Publication number: 20040087075Abstract: A CMOS device structure, and a method of fabricating the CMOS device, featuring a gate insulator layer comprised of a high k metal oxide layer, has been developed. The process features formation of recessed, heavily doped source/drain regions, and of vertical, polysilicon LDD spacers, prior to deposition of the high k metal oxide layer. Removal of a silicon nitride shape, previously used as a mask for definition of the recessed regions, which in turn are used for accommodation of the heavily doped source/drain regions, provides the space to be occupied by the high k metal oxide layer. The integrity of the high k, gate insulator layer, butted by the vertical polysilicon spacers, and overlying a channel region provided by the non-recessed portion of the semiconductor substrate, is preserved via delayed deposition of the metal oxide layer, performed after high temperature anneals such as the activation anneal for heavily doped source/drain regions, as well as the anneal used for metal silicide formation.Type: ApplicationFiled: October 29, 2003Publication date: May 6, 2004Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANYInventors: Ming-Fang Wang, Chien-Hao Chen, Liang-Gi Yao, Shih-Chang Chen
-
Publication number: 20040087076Abstract: A method comprising forming a sacrificial layer over less than the entire portion of a contact area on a substrate, the sacrificial layer having a thickness defining an edge over the contact area, forming a spacer layer over the spacer, the spacer layer conforming to the shape of the first sacrificial layer such that the spacer layer comprises an edge portion over the contact area adjacent the first sacrificial layer edge, removing the sacrificial layer, while retaining the edge portion of the spacer layer over the contact area, forming a dielectric layer over the contact area, removing the edge portion, and forming a programmable material to the contact area formerly occupied by the edge portion.Type: ApplicationFiled: October 23, 2003Publication date: May 6, 2004Inventors: Charles H. Dennison, Guy C. Wicker, Tyler A. Lowrey, Stephen J. Hudgens, Chien Chiang, Daniel Xu
-
Publication number: 20040087077Abstract: A semiconductor component includes a substrate, bonding pads on the substrate, and external contacts bonded to the bonding pads. Exemplary external contacts include solder balls, solder bumps, solder columns, TAB bumps and stud bumps. Preferably the external contacts are arranged in a dense array, such as a ball grid array (BGA), or fine ball grid array (FBGA). The component also includes a polymer support member configured to strengthen the external contacts, absorb forces applied to the external contacts, and prevent separation of the external contacts from the bonding pads. In a first embodiment, the polymer support member comprises a cured polymer layer on the substrate, which encompasses the base portions of the external contacts. In a second embodiment, the polymer support member comprises support rings which encompass the base portions of the external contacts.Type: ApplicationFiled: October 24, 2003Publication date: May 6, 2004Inventors: Warren M. Farnworth, Alan G. Wood
-
Publication number: 20040087078Abstract: An edge seal around the periphery of an integrated circuit device which environmentally protects the copper circuitry from cracks that may form in the low-k interlevel dielectric during dicing. The edge seal essentially constitutes a dielectric wall between the copper circuitry and the low-k interlevel dielectric near the periphery of the integrated circuit device. The dielectric wall is of a different material than the low-k interlevel dielectric.Type: ApplicationFiled: October 27, 2003Publication date: May 6, 2004Inventors: Birendra N. Agarwala, Hormazdyar Minocher Dalal, Eric G. Liniger, Diana Llera-Hurlburt, Du Binh Nguyen, Richard W. Procter, Hazara Singh Rathore, Chunyan E. Tian, Brett H. Engel
-
Publication number: 20040087079Abstract: A process for forming a dielectric stack for use as a gate dielectric layer for sub −0.1 um MOSFET devices has been developed. The process features growth of a thin silicon nitride layer on the surface of a semiconductor substrate via a low temperature plasma nitridization procedure. The conditions used allow a self-limiting silicon nitride layer, in regards to thickness, to be realized. A plasma oxidation procedure is next used to remove bulk traps in the silicon nitride layer in addition to forming a thin silicon oxide layer on the semiconductor surface, underlying the thin silicon nitride layer. The plasma oxidation procedure also results in conversion of a top portion of the silicon layer to silicon oxynitride, thus resulting in a dielectric gate stack comprised of silicon oxynitride-silicon oxide-silicon nitride.Type: ApplicationFiled: November 5, 2002Publication date: May 6, 2004Applicant: Taiwan Semiconductor Manufacturing CompanyInventors: Chi-Chun Chen, Tze-Liang Lee, Shih-Chang Chen
-
Publication number: 20040087080Abstract: An layer for a structure such as a ferro-capacitor is formed by a three stage process consisting of (i) applying a wetting layer 23 over some or all of the structure 21, (ii) applying a second layer 25 of a second material over the wetting layer 23, and (iii) transforming the second material by a chemical reaction. In an example, the second material is Al, and step (iii) inclues oxidising the Al layer 25 to form an Al2O3 layer 27. The wetting layer 21 is preferably applied by a process having good step coverage even in high aspect regions of the substrate, even though that process may have a low deposition rate. The wetting layer 21 is preferably formed of a material over which the second material has a high mobility, so that the aluminium layer—and the subsequent Al2O3 layer—are relatively uniform in thickness. Step (iii) may be preceded by a step of enhancing lateral mobility of the second material, e.g. by a heat treatment.Type: ApplicationFiled: October 23, 2002Publication date: May 6, 2004Inventors: Uwe Wellhausen, Rainer Bruchhaus, Nicolas Nagel, Stefan Gernhardt
-
Publication number: 20040087081Abstract: A dielectric structure formed on a substrate using a thin film deposition technique such as atomic layer deposition (ALD) includes at least one layer of current leakage inhibiting dielectric material, such as Al2O3, HfO2, or ZrO2, for example, in combination with niobium oxide (Nb2O5). The Nb2O5 is either incorporated into the dielectric structure as a dopant in a layer of the current leakage inhibiting material or as one or more separate layers in addition to the layer or layers of current leakage inhibiting material. The dielectric structure may be used in miniature capacitors for integrated circuit devices such as DRAM devices, for example. In some embodiments, one or more capacitor electrodes are formed around the dielectric structure in the same ALD processing system. One or more of the electrodes may comprise a transition metal nitride, a noble metal, or a noble metal alloy.Type: ApplicationFiled: June 30, 2003Publication date: May 6, 2004Inventors: Bradley J. Aitchison, Arto Pakkala, Pekka Kuosmanen, Kari Harkonen
-
Publication number: 20040087082Abstract: A downsized, high-capacity MIM capacitor provided on a compound semiconductor includes a lower electrode comprising a plurality of metal layers including a top metal layer, an upper electrode, and a dielectric layer positioned between the lower electrode and the upper electrode. The entire surface of the top metal layer is oxidized to form an insulating metal oxide layer.Type: ApplicationFiled: March 10, 2003Publication date: May 6, 2004Applicant: Murata Manufacturing Co.,Ltd.Inventor: Hidefumi Nakata
-
Publication number: 20040087083Abstract: Disclosed is a method of forming a capacitor in a semiconductor device.Type: ApplicationFiled: July 2, 2003Publication date: May 6, 2004Inventor: Jae Han Cha
-
Publication number: 20040087084Abstract: A new method to form control gates and erase gates for split-gate flash memory cells is achieved. A unique flash device is achieved. The method comprises providing floating gates overlying a substrate. A control dielectric layer is formed overlying the floating gates and the substrate. A control conductor layer is formed overlying the control dielectric layer. Sidewall spacers are formed on the control conductor layer. The control conductor layer is partially etched down to create gaps between the sidewall spacers and the floating gates. The remaining control conductor layer forms control gates laterally adjacent to the floating gates. An isolating dielectric layer is formed overlying the control gates. An erase dielectric layer is formed lining the gaps and overlying the isolating dielectric layer. An erase conductor layer is deposited overlying the erase dielectric layer and isolating dielectric layer.Type: ApplicationFiled: November 5, 2002Publication date: May 6, 2004Applicant: Taiwan Semiconductor Manufacturing CompanyInventor: Chia-Ta Hsieh
-
Publication number: 20040087085Abstract: A lower electrode is formed from a first metal on a semiconductor substrate. Atoms of a second metal, that is different than the first metal, are diffused into the lower electrode. A dielectric layer is formed on the lower electrode, and an upper electrode is formed on the dielectric layer. Diffusion of second metal atoms into the lower electrode may reduce or prevent crystal grain growth and agglomeration on a surface of the lower electrode during a subsequent high temperature process.Type: ApplicationFiled: April 28, 2003Publication date: May 6, 2004Inventors: Kwang-Hee Lee, Sung-Tae Kim, Cha-Young Yoo, Han-Jin Lim, Wan-Don Kim, Se-Hoon Oh
-
Publication number: 20040087086Abstract: Disclosed are a non-volatile memory device to protect a floating gate from charge loss and a method for forming the same. At least a pair of floating gate lines are formed on a semiconductor substrate. A portion of the substrate between the floating gate lines is etched to form a trench therein. A gap-fill dielectric layer is formed in the trench and also in the gap between the pair of floating gate lines. The gap-fill dielectric layer is implanted with impurities so that positive mobile ions that may permeate the floating gate through the gap-fill dielectric layer can be trapped in the gap-fill dielectric layer.Type: ApplicationFiled: October 23, 2003Publication date: May 6, 2004Inventor: Wook-Hyoung Lee
-
Publication number: 20040087087Abstract: A method for making a twin MONOS memory array is described where two nitride storage sites lay under the memory cell word gate. The fabrication techniques incorporate self alignment techniques to produce a small cell in which N+ diffusion the nitride storage sites are defined by sidewalls. The memory cell is used in an NAND array where the memory operations are controlled by voltages on the word lines and column selectors. Each storage site within the memory cell is separately programmed and read by application of voltages to the selected cell through the selected word line whereas the unselected word lines are used to pass drain and source voltages to the selected cell from upper and lower column voltages.Type: ApplicationFiled: October 30, 2003Publication date: May 6, 2004Applicant: HALO LSI, INC.Inventors: Seiki Ogura, Tomoko Ogura, Tomoya Saito, Kimihiro Satoh
-
Publication number: 20040087088Abstract: To fabricate a semiconductor memory, one or more pairs of first structures are formed over a semiconductor substrate. Each first structure comprises (a) a plurality of floating gates of memory cells and (b) a first conductive line providing control gates for the memory cells. The control gates overlie the floating gates. Each pair of the first structures corresponds to a plurality of doped regions each of which provides a source/drain region to a memory cell having the floating and control gates in one or the structure and a source/drain region to a memory cell having floating and control gates in the other one of the structures. For each pair, a second conductive line is formed whose bottom surface extends between the two structures and physically contacts the corresponding first doped regions. In some embodiments, the first doped regions are separated by insulation trenches. The second conductive line may form a conductive plug at least partially filling the region between the two first structures.Type: ApplicationFiled: October 20, 2003Publication date: May 6, 2004Inventors: Chung Wai Leung, Chia-Shun Hsiao, Vei-Han Chan
-
Publication number: 20040087089Abstract: A semiconductor device is disclosed, which comprises trench type device isolation regions formed in a semiconductor substrate, semiconductor active regions electrically isolated by the isolation regions, a first electrode layer formed to self-align to the isolation regions, and a second electrode layer formed over the first electrode layer with an insulating film interposed therebetween, the top of each of the isolation regions being located, in an area where the second electrode layer is present, at a first level below the top of the first electrode layer and above the surface of the active regions and, in an area where the second electrode layer is not present, at a second level below the first level, and the surface of the active regions being at substantially the same level in the area where the second electrode layer is present and in the area where the second electrode layer is not present.Type: ApplicationFiled: October 24, 2003Publication date: May 6, 2004Inventors: Michiharu Matsui, Seiichi Mori
-
Publication number: 20040087090Abstract: A semiconductor fabrication process is disclosed wherein a first gate (108, 114) is formed over a first portion of a semiconductor substrate (102) and a second gate (114, 108) is formed over a second portion of the substrate (102). A spacer film (118) is deposited over substrate (102) and first and second gates (108, 114). First spacers (126) are then formed on sidewalls of the second gate (114) and second spacers (136) are formed on sidewalls of first gate (108). The first and second spacers (126, 136) have different widths. The process may further include forming first source/drain regions (128) in the substrate laterally disposed on either side of the first spacers (126) and second source/drain regions (138) are formed on either side of second spacers (136). The different spacer widths may be achieved using masked first and second spacer etch processes (125, 135) having different degrees of isotropy.Type: ApplicationFiled: October 31, 2002Publication date: May 6, 2004Inventors: Paul A. Grudowski, Jian Chen, Choh-Fei Yeap
-
Publication number: 20040087091Abstract: MOS transistor formed on a semiconductor substrate of a first conductivity type and method of fabrication are provided. The device includes (a) an interfacial layer formed on the substrate; (b) a high dielectric constant layer covering the interfacial layer that comprises a material that is selected from the group consisting of Ta2O5, Ta2(O1−xNx)5 wherein x ranges from greater than 0 to 0.6, a solid solution of (Ta2O5)r—(TiO2)1−r wherein r ranges from about 0.9 to 1, a solid solution (Ta2O5)s—(Al2O3)1−s wherein s ranges from 0.9 to 1, a solid solution of (Ta2O5)t—(ZrO2)1−t wherein t ranges from about 0.9 to 1, a solid solution of (Ta2O5)u—(HfO2)1−u wherein u ranges from about 0.9 to 1, and mixtures thereof wherein the interfacial layer separates the high dielectric constant layer from the substrate; (b) a gate electrode having a width of less than 0.Type: ApplicationFiled: July 21, 2003Publication date: May 6, 2004Applicant: Lam Research CorporationInventor: Michael Setton
-
Publication number: 20040087092Abstract: A process is described for transferring a photoresist pattern into a substrate. In one embodiment a stack comprised of a top photoresist layer, a middle ARC layer, and a bottom hardmask is formed over a gate electrode layer. A line in the photoresist pattern is anisotropically transferred through the ARC and hardmask. Then an isotropic etch to trim the linewidth by 0 to 50 nm per edge is performed simultaneously on the photoresist, ARC and hardmask. This method minimizes the amount of line end shortening to less than three times the dimension trimmed from one line edge. Since a majority of the photoresist layer is retained, the starting photoresist thickness can be reduced by 1000 Angstroms or more to increase process window. The pattern is then etched through the underlying layer to form a gate electrode. The method can also be used to form STI features in a substrate.Type: ApplicationFiled: October 31, 2002Publication date: May 6, 2004Applicant: Taiwan Semiconductor Manufacturing CompanyInventors: Ming-Jie Huang, Hun-Jan Tao
-
Publication number: 20040087093Abstract: In a semiconductor device using a silicon carbide substrate (1), the object of the present invention is to provide a method of manufacturing a semiconductor device that is a buried channel region type transistor having hot-carrier resistance, high punch-through resistance and high channel mobility. This is achieved by using a method of manufacturing a buried channel type transistor using a P-type silicon carbide substrate that includes a step of forming a buried channel region, a source region and a drain region, a step of forming a gate insulation layer after the step of forming the buried channel region, source region and drain region, and a step of exposing the gate insulation layer to an atmosphere containing water vapor at a temperature of 500° C. or more after the step of forming the gate insulation layer. The gate insulation layer is formed by a thermal oxidation method using dry oxygen.Type: ApplicationFiled: December 30, 2003Publication date: May 6, 2004Inventors: Kenji Fukuda, Kazuo Arai, Junji Senzaki, Shinsuke Harada, Ryoji Kosugi, Kazuhiro Adachi, Seiji Suzuki
-
Publication number: 20040087094Abstract: An insulated gate field effect transistor having differentially doped source-side and drain-side halo regions and a method for manufacturing the transistor. A gate structure is formed on a major surface of a semiconductor substrate. A source-side halo region is proximal the source extension region and a drain-side halo region is proximal the drain extension region, where the drain-side halo region has a higher dopant concentration than the source-side halo region. A source extension region and a drain extension region are formed in a semiconductor material. The source extension region extends under a gate structure, whereas the drain extension region may extend under the gate structure or be laterally spaced apart from the gate structure or be aligned to the gate side adjacent the drain region. A source region is adjacent the source extension region and a drain region is adjacent the drain extension region.Type: ApplicationFiled: October 30, 2002Publication date: May 6, 2004Applicant: ADVANCED MICRO DEVICES, INC.Inventors: Derick Wristers, Chad Weintraub, James F. Buller, Jon Cheek
-
Publication number: 20040087095Abstract: A hard mask 21a which has an opening for exposing a p-type region 2 defined in a silicon substrate 1 and is made of, for example, a BPSG film is formed. Then, the hard mask 21a is subjected to isotropic etching using argon gas, to have its edge rounded off, thereby forming an implantation hard mask21 having a tapered edge. Subsequently, large-angle-tilt ion implantation of an n-type impurity is performed using the implantation hard mask 21 as a mask, thereby forming an n− layer 13 having an LDD structure. Thereafter, the implantation hard mask 11 is removed. In this manner, it is possible to perform large-angle-tilt ion implantation using an implantation mask thinner than a conventional implantation mask.Type: ApplicationFiled: August 26, 2003Publication date: May 6, 2004Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.Inventors: Takato Handa, Hiroyuki Umimoto
-
Publication number: 20040087096Abstract: A non-volatile memory compatible with logic devices and processes are described. The non-volatile memory has a substrate, a first dielectric layer, a first gate, a second gate, a second dielectric layer, a plurality of spacers and a source/drain. A first active region and a second active region are formed on the substrate. When hot carrier effect occurs near the drain, the second dielectric layer located under the spacers is able to retain electrons so that the non-volatile memory is programmed.Type: ApplicationFiled: November 5, 2002Publication date: May 6, 2004Inventor: Wen-Yueh Jang
-
Publication number: 20040087097Abstract: A manufacture method of a semiconductor device, and more particularly to the manufacture method of a silicon/silicon-germanium heterogeneous bipolar transistor (HBT) device with ultra-thin base, which mainly utilized the method of doping carbon atoms in the silicon-germanium (SiGe) spacer layer in order to suppress the out-diffusion of boron, increase the amount of doped boron in base, germanium (Ge) concentration, and critical thickness, and decrease the thickness of silicon-germanium spacer layer, and achieve the objective of raising the device's high frequency property.Type: ApplicationFiled: April 28, 2003Publication date: May 6, 2004Applicant: Industrial Technology Research InstituteInventors: Li-Shyue Lai, Pang-Shiu Chen, Shin-Chii Lu, Chee-Wee Liu
-
Publication number: 20040087098Abstract: An improved process for fabricating simultaneously high capacitance, less than 0.13 micron metal-insulator-metal capacitors, metal resistors and metal interconnects, has been developed using single or dual damascene processing. The key advantage is the use of only one additional mask reticle to form both MIM capacitor and resistor, simultaneously. Several current obstacles that exist in BEOL, back end of line, are overcome, namely: (a) the use of two or more photo-masks to make <0.13 um MIM capacitors, (b) undulated copper surfaces, when dielectrics are deposited directly upon it, (c) particles generation concerns during etching, when attempting an etch stop on the bottom MIM plate layers, and finally, (d) dishing during CMP occurs when large copper MIM plates are required, with subsequent capacitance matching problems. The integrated method overcomes the above obstacles and simultaneously forms MIM capacitors, metal resistors and metal interconnects using damascene processing.Type: ApplicationFiled: November 1, 2002Publication date: May 6, 2004Applicant: Chartered Semiconductor Manufacturing Ltd.Inventors: Chit Hwei Ng, Chaw Sing Ho, Lup San Leong, Shao Kai, Raymond Jacob Joy, Sanford Chu, Sajan Marokkey Raphael
-
Publication number: 20040087099Abstract: An on-chip inductor may be fabricated by creating at least one dielectric layer, creating at least one conductive winding on the at least one dielectric layer and creating: (1) a P-well layer having a major surface parallel to a major surface of the dielectric layer, (2) field oxide layer having a major surface parallel to a major surface of the dielectric layer, (3) P-well and field oxide layer, or (4) a poly-silicon layer having a major surface parallel to a major surface of the dielectric layer.Type: ApplicationFiled: September 29, 2003Publication date: May 6, 2004Inventors: Harry Contopanagos, Christos Komninakis, Sissy Kyriazidou
-
Publication number: 20040087100Abstract: Methods of forming front-end-of the line (FEOL) capacitors such as polysilicon-polysilicon capacitors and metal-insulator-silicon capacitors are provided that are capable of incorporating a high-dielectric constant (k of greater than about 8) into the capacitor structure. The inventive methods provide high capacitance/area devices with low series resistance of the top and bottom electrodes for high frequency responses. The inventive methods provide a significant reduction in chip size, especially in analog and mixed-signal applications where large areas of capacitance are used.Type: ApplicationFiled: October 17, 2003Publication date: May 6, 2004Inventors: Evgeni P. Gousev, Harald F. Okorn-Schmidt, Arne W. Ballantine, Douglas A. Buchanan, Eduard A. Cartier, Douglas D. Coolbaugh
-
Publication number: 20040087101Abstract: An improved and new process of fabricating high dielectric constant MIM capacitors. These high dielectric constant MIM capacitor met all of the stringent requirements needed for both for both RF and analog circuit applications. For the high dielectric constant MIM capacitor, the metal is comprised of copper electrodes in a dual damascene process. The dielectric constant versus the total thickness of super lattices is controlled by the number of layers either 4/4 , 2/2, and 1/1 artificial layers. Hence thickness of the film can be easily controlled. Enhancement of dielectric constant is because of interface. Dielectric constants near 900 can be easily achieved for 250 Angstrom thick super lattices.Type: ApplicationFiled: November 2, 2002Publication date: May 6, 2004Applicant: Chartered Semiconductor Manufacturing Ltd.Inventors: Subramanian Balakumar, Chew Hoe Ang, Jia Zhen Zheng, Paul Proctor
-
Publication number: 20040087102Abstract: A solid electrolytic capacitor is obtained in which a sintered metal 11 is served as an anode and a silver layer is served as a cathode. The surface of sintered metal made of tantalum or the like and having an open porosity ratio of more than 75% is oxidized so as an oxide film 12 made of tantalum pentoxide or the like is deposited thereon. The cavities of the metal are filled with an electrically conductive material 13. Then the metal is wound around a lead wire and made into a desired shape and size. The silver layer is formed on the porous metal body. Because the surface area ratio of the sintered metal is large, a large capacity is obtained.Type: ApplicationFiled: October 17, 2003Publication date: May 6, 2004Inventors: Atsuo Nagai, Hideki Kuramitsu, Emiko Igaki, Koichi Kojima
-
Publication number: 20040087103Abstract: A method of fabricating a semiconductor device having a silicon layer disposed on an insulating film. Oxygen ions are implanted into selected parts of the silicon layer, which are then oxidized to form isolation regions dividing the silicon layer into a plurality of mutually isolated active regions. As the oxidation process does not create steep vertical discontinuities, fine patterns can be formed easily on the combined surface of the active and isolation regions. The implanted oxygen ions cause oxidation to proceed quickly, finishing before a pronounced bird's beak is formed. The isolation regions themselves can therefore be narrow and finely patterned.Type: ApplicationFiled: August 6, 2003Publication date: May 6, 2004Inventor: Jun Kanamori
-
Publication number: 20040087104Abstract: A method for fabricating a shallow trench isolation structure is described, in which a bottom pad oxide layer, a middle silicon nitride layer, a middle oxide layer and a top silicon nitride layer are sequentially formed on a silicon substrate. Photolithographic masking and anisotropic etching are then conducted to form a trench in the substrate. An oxide material is then deposited on top of the top silicon nitride layer, filling up the trenches at the same time. A chemical mechanical polishing step is then employed to remove the oxide material by using the top silicon nitride layer as a barrier layer. The top silicon nitride layer is then removed, followed by an isotropic etch of the oxide layer below. With the middle nitride layer acting as a natural etch stop, the oxide material is sculpted to a desirable shape. The middle nitride layer and the pad oxide layer are subsequently removed to complete the fabrication of a shallow trench isolation structure.Type: ApplicationFiled: October 22, 2002Publication date: May 6, 2004Inventors: Timothy M. Barry, Nicolas Degors, Donald A. Erickson, Amit S. Kelkar, Bradley J. Larsen
-
Publication number: 20040087105Abstract: Disclosed is a method of forming an isolation film in a semiconductor device. The method comprises the steps of providing a semiconductor substrate having a region where a P well will be formed and a region where a N well will be formed, forming an oxide film and a nitride film on the semiconductor substrate, removing portions of the nitride film and the oxide film and the semiconductor substrate below them to form first and second trenches in the region where the P well will be formed and the region where the N well will be formed, respectively, implementing an epitaxial growth process including a doping process to form a N type epitaxial growth layer in the first trench and a P type epitaxial growth layer in the second trench, and burying the first and second trenches with insulating films to form an isolation film.Type: ApplicationFiled: July 3, 2003Publication date: May 6, 2004Inventor: Jae Han Cha
-
Publication number: 20040087106Abstract: Disclosed herein is a method for forming an isolation film in a silicon substrate, using a shallow trench isolation (STI) process.Type: ApplicationFiled: July 10, 2003Publication date: May 6, 2004Inventor: Bong Cheon Kim
-
Publication number: 20040087107Abstract: Provided is a method of semiconductor device fabrication capable of rounding the sharp edge portions of trenches so as to form device isolation regions having high electrical reliability. A semiconductor substrate comprising a lattice-strain relaxed silicon germanium layer, a silicon germanium layer, and a lattice strained silicon layer formed in this order of mention onto a silicon substrate is used, while trenches are formed in the portions for device isolation regions of the semiconductor substrate by etching. Then, a silicon film is deposited on the entirety of the exposed surface, and the deposited silicon film is dry-oxidized so as to form a silicon dioxide film. As a result, the edge portions of the trenches are rounded.Type: ApplicationFiled: October 29, 2003Publication date: May 6, 2004Applicant: SHARP KABUSHIKI KAISHAInventor: Masahiro Takenaka
-
Publication number: 20040087108Abstract: An SOI architecture is provided that comprises an inner substrate 10 which has a buried conductor layer 12 formed on an outer surface thereof. A bonding layer 14 is used to provide a cohesive bond with a buried insulator layer 18. The semiconductor device layer 20 is formed on the outer surface of buried insulator layer 18. An inductive well 22 can be formed to provide a platform for the formation of inductive devices 34 within an inductive region 26.Type: ApplicationFiled: July 28, 2003Publication date: May 6, 2004Inventor: Theodore W. Houston
-
Publication number: 20040087109Abstract: A semiconductor substrate (1) comprises first and second silicon wafers (2,3) directly bonded together with interfacial oxide and interfacial stresses minimised along a bond interface (5), which is defined by bond faces (7) of the first and second wafers (2,3). Interfacial oxide is minimised by selecting the first and second wafers (2,3) to be of relatively low oxygen content, well below the limit of solid solubility of oxygen in the wafers. In order to minimise interfacial stresses, the first and second wafers are selected to have respective different crystal plane orientations. The bond faces (7) of the first and second wafers (2,3) are polished and cleaned, and are subsequently dried in a nitrogen atmosphere. Immediately upon being dried, the bond faces (7) of the first and second wafers (2,3) are abutted together and the wafers (2,3) are subjected to a preliminary anneal at a temperature of at least 400° C. for a time period of a few hours.Type: ApplicationFiled: August 29, 2003Publication date: May 6, 2004Inventors: Paul Damien McCann, William Andrew Nevin
-
Publication number: 20040087110Abstract: A peeling method is provided which does not cause damage to a layer to be peeled, and the method enables not only peeling of the layer to be peeled having a small area but also peeling of the entire layer to be peeled having a large area at a high yield. Further, there are provided a semiconductor device, which is reduced in weight through adhesion of the layer to be peeled to various base materials, and a manufacturing method thereof. In particular, there are provided a semiconductor device, which is reduced in weight through adhesion of various elements, typically a TFT, to a flexible film, and a manufacturing method thereof. A metal layer or nitride layer is provided on a substrate; an oxide layer is provided contacting with the metal layer or nitride layer; then, a base insulating film and a layer to be peeled containing hydrogen are formed; and heat treatment for diffusing hydrogen is performed thereto at 410° C. or more.Type: ApplicationFiled: July 15, 2003Publication date: May 6, 2004Applicant: Semiconductor Energy Laboratory Co., Ltd.Inventors: Toru Takayama, Junya Maruyama, Yuugo Goto, Yumiko Ohno, Takuya Tsurume, Hideaki Kuwabara
-
Publication number: 20040087111Abstract: A method for manufacturing a semiconductor film includes a step of preparing a first member including a semiconductor substrate, a semiconductor layer, and a separation layer provided between the semiconductor substrate and the semiconductor layer, a step of bonding or attracting a second member which is hardly heated by induction heating, onto the semiconductor layer of the first member, and a step of separating the semiconductor layer from the semiconductor substrate at the separation layer by heating the semiconductor substrate by induction heating.Type: ApplicationFiled: September 10, 2003Publication date: May 6, 2004Applicant: CANON KABUSHIKI KAISHAInventors: Yukiko Iwasaki, Tatsumi Shoji, Shoji Nishida
-
Publication number: 20040087112Abstract: A method and system for cutting a wafer comprising a conductive substrate attached to an array of integrated devices includes placing the wafer on a stage such as a movable X-Y stage including a vacuum chuck having a porous mounting surface, and securing the wafer during and after cutting by vacuum pressure through the pores. The wafer is cut by directing UV pulses of laser energy at the conductive substrate using a solid-state laser. An adhesive membrane can be attached to the separated die to remove them from the mounting surface, or the die can otherwise be removed after cutting from the wafer.Type: ApplicationFiled: November 5, 2002Publication date: May 6, 2004Applicant: NEW WAVE RESEARCHInventor: Kuo-Ching Liu
-
Publication number: 20040087113Abstract: A method of manufacturing a semiconductor laser chip has following steps. First, a semiconductor substrate including an active layer and a block layer is provided. An electrode line pattern and a marker are formed on the semiconductor substrate. The semiconductor substrate is etched to form a W channel. Then, an oxide layer is formed on the semiconductor substrate to cover the electrode line pattern and the marker. A part of the oxide layer to form an electrode contact that exposes the electrode line pattern. A mounting electrode is formed on the electrode line pattern. Finally, the semiconductor substrate is divided into a plurality of semiconductor laser chip.Type: ApplicationFiled: September 26, 2003Publication date: May 6, 2004Inventor: Mitsumasa Muraishi
-
Publication number: 20040087114Abstract: A strained silicon layer is grown on a layer of silicon germanium and a second layer of silicon germanium is grown on the layer of strained silicon in a single continuous in situ deposition process. Both layers of silicon germanium may be grown in situ with the strained silicon. This construction effectively provides dual substrates at both sides of the strained silicon layer to support the tensile strain of the strained silicon layer and to resist the formation of misfit dislocations that may be induced by temperature changes during processing. Consequently the critical thickness of strained silicon that can be grown on substrates having a given germanium content is effectively doubled. The silicon germanium layer overlying the strained silicon layer may be maintained during MOSFET processing to resist creation of misfit dislocations in the strained silicon layer up to the time of formation of gate insulating material.Type: ApplicationFiled: October 24, 2002Publication date: May 6, 2004Applicant: Advanced Micro Devices, Inc.Inventors: Qi Xiang, Jung-Suk Goo, Haihong Wang
-
Publication number: 20040087115Abstract: A GaN layer 32 grows in vertical direction on a GaN layer 31 where neither a first mask 41m nor a second mask 42m is formed. When thickness of the GaN layer 32 becomes larger than that of the first mask 41m, it began to grown in lateral direction so as to cover the first mask 41m. Because the second mask 42m is not formed on the upper portion of the first mask 41m, the GaN layer 32 grows in vertical direction. On the contrary, at the upper region of the GaN layer 31 where the mask 41m is not formed, the second mask 42m is formed like eaves, the growth of the GaN layer 32 stops and threading dislocations propagated with vertical growth also stops there. The GaN layer 32 grows in vertical direction so as to penetrate the region where neither the first mask 41m nor the second mask 42m is formed. When the height of the GaN layer 32 becomes larger than that of the second mask 42m, the GaN layer 32 begins to grow in lateral direction again and covers the second mask 42m.Type: ApplicationFiled: November 5, 2003Publication date: May 6, 2004Inventors: Seiji Nagai, Kazuyoshi Tomita, Masahito Kodama
-
Publication number: 20040087116Abstract: In a method for manufacturing a semiconductor device and devices formed thereby, a semiconductor material (26) (e.g., amorphous silicon or microcrystallized silicon film) is formed on a substrate (22). At least a region (R) of the semiconductor material is irradiated with a laser (38) for heating and melting the semiconductor material in the region. The manufacturing method is controlled to promote uniform cooling of the semiconductor material in the irradiated region. Uniform cooling of the silicon film after irradiation is promoted so that, after irradiation, a desirable polycrystalline microstructure (CM) is formed in the semiconductor material by lateral solidification from a boundary (B) of the region. Uniform and/or slow cooling reduces occurrence of growth-restricting microcrystals in the center of the melted region, so that advantageously lateral crystal growth is relatively unrestricted, resulting in longer lateral growth and preferably also wider crystal growth essentially uniformly.Type: ApplicationFiled: October 30, 2002Publication date: May 6, 2004Inventor: Junichiro Nakayama
-
Publication number: 20040087117Abstract: Dislocation pile-ups in compositionally graded semiconductor layers are reduced or eliminated, thereby leading to increased semiconductor device yield and manufacturability. This is accomplished by introducing a semiconductor layer having a plurality of threading dislocations distributed substantially uniformly across its surface as a starting layer and/or at least one intermediate layer during growth and relaxation of the compositionally graded layer. The semiconductor layer may include a seed layer disposed proximal to the surface of the semiconductor layer and having the threading dislocations uniformly distributed therein.Type: ApplicationFiled: August 22, 2003Publication date: May 6, 2004Applicant: AmberWave Systems CorporationInventors: Christopher Leitz, Christopher Vineis, Richard Westhoff, Vicky Yang, Matthew Currie
-
Publication number: 20040087118Abstract: Activation of impurities is achieved without involving creation of a crystal defect or deformation by using phonon absorption. A laser beam (42) having a wavelength in a range of 16 to 17 &mgr;m is irradiated onto silicon, to cause phonon absorption. Before an energy supplied from the laser beam (42) diffuses around a portion which is irradiated with the laser beam (42), solid phase epitaxy in the portion finishes. Accordingly, crystallization occurs only in the portion which is irradiated with the laser beam (42), and does not occur in a portion which is not irradiated with the laser beam (42). Hence, heat is not excessively absorbed. Also, local phase change such as melting and solidification is not caused.Type: ApplicationFiled: June 30, 2003Publication date: May 6, 2004Applicants: Renesas Technology Corp., Ion Engineering Research Institute, Corporation, Natsuro TsubouchiInventors: Shigeto Maegawa, Takashi Ipposhi, Kazunobu Ohta, Yasuo Inoue, Masanobu Kohara, Takashi Eura, Natsuro Tsubouchi
-
Publication number: 20040087119Abstract: A method of forming a SiGe layer having a relatively high Ge content includes preparing a silicon substrate; depositing a layer of strained SiGe to a thickness of between about 100 nm to 500 nm, wherein the Ge content of the SiGe layer is equal to or greater than 20%, by molecular weight; implanting H2+ ions into the SiGe layer; irradiating the substrate and SiGe layer, to relax the SiGe layer; and depositing a layer of tensile-strained silicon on the relaxed SiGe layer to a thickness of between about 5 nm to 30 nm.Type: ApplicationFiled: July 22, 2003Publication date: May 6, 2004Applicant: Sharp Laboratories of America, Inc.Inventors: Jer-Shen Maa, Jong-Jan Lee, Douglas J. Tweet, Sheng Teng Hsu
-
Publication number: 20040087120Abstract: A method of forming the active regions of field effect transistors is proposed. According to the proposed method, shallow implanting profiles for both the halo structures and the source and drain regions can be obtained by carrying out a two-step damaging and amorphizing implantation process. During a first step, the substrate is damaged during a first light ion implantation step and subsequently substantially fully amorphized during a second heavy ion implantation step.Type: ApplicationFiled: May 19, 2003Publication date: May 6, 2004Inventors: Thomas Feudel, Manfred Horstmann, Rolf Stephan
-
Publication number: 20040087121Abstract: In highly sophisticated MOS transistors including nickel silicide portions for reducing the silicon sheet resistance, nickel silicide stingers may lead to short circuits between the drain and source region and the channel region, thereby significantly lowering production yield. By substantially amorphizing corresponding portions of the source and drain regions, the creation of clustered point defects may effectively be avoided during curing implantation induced damage, wherein a main diffusion path for nickel during the nickel silicide formation is interrupted. Thus, nickel silicide stingers may be significantly reduced or even completely avoided.Type: ApplicationFiled: May 19, 2003Publication date: May 6, 2004Inventors: Thorsten Kammler, Karsten Wieczorek, Markus Lenski
-
Publication number: 20040087122Abstract: A method of adhering a silicate layer to dielectric layer comprising the following steps. A structure having an overlying dielectric layer formed thereover is provided. An adhesion promoter layer is formed upon the dielectric layer. The adhesion promoter layer including adhesion promotion molecules. The dielectric layer and the adhesion promoter layer are treated to a low-temperature treatment to bind at least some of the adhesion promotion molecules to the dielectric layer. A silicate layer is formed upon the low-temperature treated adhesion promoter layer. The silicate layer and the low-temperature treated adhesion promoter layer are treated to a high-temperature treatment to bind at least some of the adhesion promotion molecules to the silicate layer whereby the silicate layer is adhered to the dielectric layer.Type: ApplicationFiled: October 30, 2002Publication date: May 6, 2004Applicant: Taiwan Semiconductor Manufacturing CompanyInventors: Lain-Jong Li, Shen-Nan Lee
-
Publication number: 20040087123Abstract: A semiconductor device and method of production are disclosed, the method including forming a preliminary gate electrode on a semiconductor substrate, the preliminary gate electrode including a gate oxide layer pattern and a conductive layer pattern stacked on the gate oxide layer pattern, and performing a re-oxidation process for curing damage of the semiconductor substrate and/or a sidewall of the conductive layer pattern, when the preliminary gate electrode is formed by forming an oxide layer on an outer surface of the preliminary gate electrode and on the semiconductor substrate, by supplying an oxygen gas and a chlorine-including gas while restraining a thickness of the gate oxide layer pattern from being increased; and the semiconductor device comprising a preliminary gate electrode formed on a semiconductor substrate, the preliminary gate electrode including a gate oxide layer pattern and a conductive layer pattern stacked on the gate oxide layer pattern, and a re-oxidized semiconductor substrate and/oType: ApplicationFiled: October 7, 2003Publication date: May 6, 2004Inventors: Jae-Young Ahn, Bong-Hyun Kim, Jae-Duk Lee, Man-Sug Kang
-
Publication number: 20040087124Abstract: An underlying insulting film of silicon oxide, a gate insulating film of hafnium oxide, a gate electrode of polysilicon and side walls of silicon oxide are formed above an element formation region of a semiconductor substrate. In the upper portion of the element formation region of the semiconductor substrate, source and drain areas and extension areas are formed by implantations of respective types. Thereafter, the scan speed of the semiconductor substrate and the pulse interval and the peak power of laser beam are adjusted to irradiate only the vicinity of the surface of the semiconductor substrate with laser beam for 0.1 second so that the vicinity of the surface of the semiconductor substrate has a temperature of 1150 to 1250° C. Thus, heat treatments for the gate insulating film and the source and drain areas are performed.Type: ApplicationFiled: September 16, 2003Publication date: May 6, 2004Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.Inventors: Masafumi Kubota, Shigenori Hayashi