Patents Issued in August 7, 2007
-
Patent number: 7253060Abstract: A gate-all-around (GAA) transistor device has a pair of pillars that include the source/drain regions, a channel region bridging the source/drain regions, and a gate electrode and gate oxide which surround the channel region. The pillars are formed by providing a mono-crystalline silicon substrate, etching the substrate to form a pair of spaced-apart trenches such that a wall of the mono-crystalline silicon stands between the trenches, filling the trenches with insulative material, implanting impurities into the wall of mono-crystalline silicon, and forming an opening in the wall such that portions of the wall remain as pillars. A sacrificial layer is formed at the bottom of the opening. Then, the channel region is formed atop the sacrificial layer between the pillars. The sacrificial layer is subsequently removed and the gate oxide and gate electrode are formed around the channel region.Type: GrantFiled: March 9, 2005Date of Patent: August 7, 2007Assignee: Samsung Electronics Co., Ltd.Inventors: Eun-jung Yun, Sung-min Kim, Sung-young Lee
-
Patent number: 7253061Abstract: A method of forming a gate insulator in the manufacture of a semiconductor device comprises conducting a photo-assisted electrochemical process to form a gate-insulating layer on a gallium nitride layer of the semiconductor device, wherein the gate-insulating layer includes gallium oxynitride and gallium oxide, and performing a rapid thermal annealing process. The photo-assisted electrochemical process uses an electrolyte bath including buffered CH3COOH at a pH between about 5.5 and 7.5. The rapid thermal annealing process is conducted in O2 environment at a temperature between about 500° C. and 800° C.Type: GrantFiled: December 6, 2004Date of Patent: August 7, 2007Assignee: Tekcore Co., Ltd.Inventors: Lung-Han Peng, Han-Ming Wu, Jing-Yi Lin
-
Patent number: 7253062Abstract: A semiconductor device (1) has a source (2) a gate (3) and a drain (4), a single deep-pocket ion implant (8) in a source-drain depletion region, and a single shallow-pocket ion implant (9) in the source-drain depletion region.Type: GrantFiled: October 6, 2005Date of Patent: August 7, 2007Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Yin-Pin Wang, Chin-Sheng Chang
-
Patent number: 7253063Abstract: A semiconductor device having composite dielectric layer formed between a silicon substrate and a gate electrode. The composite gate dielectric layer including a layer of silicon oxide, SiOx?2, having a dielectric constant of greater than about 3.9 and about 12 or less, and a complementary dielectric layer for inhibiting the flow of leakage current through the composite dielectric layer.Type: GrantFiled: August 23, 2002Date of Patent: August 7, 2007Assignee: Lucent Technologies Inc.Inventors: David A Muller, Gregory L. Timp, Glen David Wilk
-
Patent number: 7253064Abstract: A cascode I/O driver is described that includes a barrier formed in the shared region between the two transistors. The barrier region allows the I/O driver to be designed to primarily meet I/O requirements. Accordingly, improved operating speeds are achieved. An system is described that includes an I/O driver in parallel with an ESD device. In an embodiment, the I/O driver may assist the ESD device in discharging electrostatic, after the ESD begins conducting.Type: GrantFiled: May 25, 2004Date of Patent: August 7, 2007Assignee: Micron Technology, Inc.Inventors: Michael D. Chaine, Manny K. F. Ma
-
Patent number: 7253065Abstract: A self-aligned carbon-nanotube field effect transistor semiconductor device comprises a carbon-nanotube deposited on a substrate, a source and a drain formed at a first end and a second end of the carbon-nanotube, respectively, and a gate formed substantially over a portion of the carbon-nanotube, separated from the carbon-nanotube by a dielectric film.Type: GrantFiled: October 1, 2004Date of Patent: August 7, 2007Assignee: International Business Machines CorporationInventors: Joerg Appenzeller, Phaedon Avouris, Kevin K. Chan, Philip G. Collins, Richard Martel, Hon-Sum Philip Wong
-
Patent number: 7253066Abstract: An inverse-T transistor is formed by a method that decouples the halo implant, the deep S/D implant and the extension implant, so that the threshold voltage can be set by adjusting the halo implant without being affected by changes to the extension implant that are intended to alter the series resistance of the device. Formation of the inverse-T structure can be made by a damascene method in which a temporary layer deposited over the layer that will form the cross bar of the T has an aperture formed in it to hold the gate electrode, the aperture being lined with vertical sidewalls that provide space for the ledges that form the T. Another method of gate electrode formation starts with a layer of poly, forms a block for the gate electrode, covers the horizontal surfaces outside the gate with an etch-resistant material and etches horizontally to remove material above the cross bars on the T, the cross bars being protected by the etch resistant material.Type: GrantFiled: February 24, 2004Date of Patent: August 7, 2007Assignee: International Business Machines CorporationInventors: Wagdi W. Abadeer, Jeffrey S. Brown, Kiran V. Chatty, Robert J. Gauthier, Jr., Carl J. Radens, William R. Tonti
-
Patent number: 7253067Abstract: A method of manufacturing a semiconductor device having a semiconductor substrate that includes an active region for forming transistor elements, which includes a gate, and an element isolation region for isolating the transistor elements separately each other, which has a STI structure, the method comprises; first—ion implanting fist ions onto the surface of the semiconductor substrate in a region other than a stress region in the active region, which is located at the interface with the element isolation region, in the stress region, a potential stress is generated by forming the element isolation region and/or the difference between a material of the element isolation region and a material of the semiconductor substrate, so that a first impurity region for a source and/or a drain is formed in the active region in which the gate is not formed; and second ion implanting second ions each of which mass is smaller than that of each of the first ions so that a second ion impurity region is formed in the stress rType: GrantFiled: July 26, 2005Date of Patent: August 7, 2007Assignee: Seiko Epson CorporationInventor: Kanshi Abe
-
Patent number: 7253068Abstract: The silicon-on-insulator (SOI) arrangement provides dual SOI film thicknesses for body-resistance control and provides a bulk silicon substrate on which a buried oxide (BOX) layer is provided. The BOX layer has recesses formed therein and unrecessed portions. The silicon layer is formed on the BOX layer and closes the recesses and covers the unrecessed portions of the BOX layer. Shallow trench isolation regions define and isolate first silicon regions from second silicon regions that each include one of the recesses. Floating-body devices are formed within the first silicon regions, which exhibit a first thickness, and body-tied devices are formed within the second silicon regions that include the thicker silicon of the recesses.Type: GrantFiled: April 29, 2004Date of Patent: August 7, 2007Assignee: Advanced Micro Devices, Inc.Inventors: Dong-Hyuk Ju, Srinath Krishnan, Mario Pelella
-
Patent number: 7253069Abstract: A method for manufacturing a SOI wafer includes a step of heat-treating a wafer in a furnace to form an SOI wafer including a silicon support, an insulating layer containing oxide, and a superficial silicon layer arranged in that order and a step of unloading the SOI wafer from the furnace maintained at a temperature of 250° C. to 800° C. to transfer the SOI wafer to an atmosphere containing hydrogen or water. The steps are performed in that order.Type: GrantFiled: April 8, 2005Date of Patent: August 7, 2007Assignee: Sumitomo Mitsubishi Silicon CorporationInventors: Yoshio Murakami, Toru Yamazaki, Yoshiro Aoki, Akihiko Endo
-
Patent number: 7253070Abstract: A transistor having minimized parasitics is provided including an emitter having a recessed extrinsic emitter portion atop an intrinsic emitter portion; a base including an intrinsic base portion in electrical contact with the intrinsic emitter portion and an extrinsic base portion in electrical contact with the intrinsic base portion and electrically isolated from the recessed extrinsic emitter portion by a set of emitter/base spacers; and a collector in electrical contact with the intrinsic base portion. The transistor may further include extrinsic base having top surfaces entirely silicided to the emitter/base spacer. Additionally, the transistor may include a base window opening within the transistor's active area. Methods of forming the above-described transistor are also provided.Type: GrantFiled: July 5, 2006Date of Patent: August 7, 2007Assignee: International Business Machines CorporationInventors: David R. Greenberg, Shwu-Jen Jeng
-
Patent number: 7253071Abstract: Methods for reducing stress in silicon to enhance the formation of nickel mono-silicide films formed thereon include a strain compensation source/drain implant process, a silicide formation process on an amorphous silicon layer, a strain compensating buried layer process, a strain compensating dielectric capping layer process during silicide formation, a two cycle anneal process during silicide formation, an excess nickel process to transform NiSi2 to NiSi.Type: GrantFiled: March 7, 2005Date of Patent: August 7, 2007Assignee: Taiwan Semiconductor Manufacturing CompanyInventor: Tan-Chen Lee
-
Patent number: 7253072Abstract: The present invention provides a method for implanting ions in a substrate and a method for manufacturing an integrated circuit. The method for implanting ions in a substrate, among other steps, including placing a substrate (410) on an implant platen (405) such that a predominant axes (430) of the substrate (410) is rotated about 30 degrees to about 60 degrees or about 120 degrees to about 150 degrees offset from a radial with respect to the implant platen (405), and further wherein the substrate (410) is not tilted. The method further includes implanting ions into the substrate (410), the rotated position of the predominant axes (430) reducing shadowing.Type: GrantFiled: December 7, 2004Date of Patent: August 7, 2007Assignee: Texas Instruments IncorporatedInventors: James D. Bernstein, Lance S. Robertson, Said Ghneim, Nandu Mahalingam, Benjamin Moser
-
Patent number: 7253073Abstract: A method and device providing a HA junction varactor which may be fabricated with a reduced variation in C-V tuning curve from one varactor to the next. The process produces a varactor with an active region formed substantially by doping an Si substrate with various dopants at various energy levels. Accordingly, unit-to-unit device variation is reduced because etching, growing, and deposition processes to make the active portion of the varactor are reduced or eliminated. The resulting HA junction has a more uniform thickness, and a more uniform doping profile.Type: GrantFiled: January 23, 2004Date of Patent: August 7, 2007Assignee: International Business Machines CorporationInventors: Douglas D. Coolbaugh, Stephen S. Furkay, Jeffrey B. Johnson, Robert M. Rassel, David C. Sheridan
-
Patent number: 7253074Abstract: A method for forming a temperature-compensated resistor on a semiconductor substrate is provided. A resistor element is formed on the semiconductor substrate. Terminal contacts are formed on the ends of the resistor element. A temperature-compensating configuration is formed, and is selected from an enlarged transverse portion in the resistor element intermediate and spaced from the terminal contacts, and at least one contact pattern along and in contact with the resistor element intermediate and spaced from the terminal contacts.Type: GrantFiled: November 5, 2004Date of Patent: August 7, 2007Assignee: Avago Technologies Wireless IP (Singapore) Pte. Ltd.Inventor: Chul Hong Park
-
Patent number: 7253075Abstract: A semiconductor device has a plurality of capacitors. The semiconductor device includes a first capacitor arranged on a substrate and including first upper and lower electrode layers between which a first capacitor insulation film is interposed, and a second capacitor arranged on the substrate and including second upper and lower electrode layers between which a second capacitor insulation film is interposed, the second upper and lower electrode layers having a same structure as that of the first upper and lower electrode layers, and the second capacitor having a per-unit-area capacity different from that of the first capacitor.Type: GrantFiled: July 9, 2004Date of Patent: August 7, 2007Assignee: Kabushiki Kaisha ToshibaInventor: Katsuhiko Hieda
-
Patent number: 7253076Abstract: Capacitors having increased capacitance include an enhanced-surface-area (rough-surfaced) electrically conductive layer or other layers that are compatible with the high-dielectric constant materials. In one approach, an enhanced-surface-area electrically conductive layer for such capacitors is formed by processing a ruthenium oxide layer at high temperature at or above 500° C. and low pressure 75 torr or below, most desirably 5 torr or below, to produce a roughened ruthenium layer having a textured surface with a mean feature size of at least about 100 Angstroms. The initial ruthenium oxide layer may be provided by chemical vapor deposition techniques or sputtering techniques or the like. The layer may be formed over an underlying electrically conductive layer. The processing may be performed in an inert ambient or in a reducing ambient.Type: GrantFiled: June 8, 2000Date of Patent: August 7, 2007Assignee: Micron Technologies, Inc.Inventors: Vishnu K. Agarwal, Garo Derderian, Gurtej S. Sandhu, Weimin M. Li, Mark Visokay, Cem Basceri, Sam Yang
-
Patent number: 7253077Abstract: In a method according to one embodiment of the invention, a plurality of markers are printed in resist on a substrate at a range of angles relative to a crystal axis of the substrate. The markers are etched in to the substrate using an anisotropic etch process, such that after the etch the apparent positions of the markers are dependent on their orientation relative to the crystal axis. The apparent positions of the markers are measured, and from this information the orientation of the crystal axis is derived.Type: GrantFiled: December 1, 2003Date of Patent: August 7, 2007Assignee: ASML Netherlands B.V.Inventors: Peter Ten Berge, Gerardus Johannes Joseph Keijsers
-
Patent number: 7253078Abstract: An apparatus and method for forming a layer of underfill adhesive on an integrated circuit in wafer form is described. In one embodiment, the layer of underfill adhesive is disposed and partially cured on the active surface of the wafer. Once the underfill adhesive has partially cured, the wafer is singulated. The individual integrated circuits or die are then mounted onto a substrate such as a printed circuit board. When the solder balls of the integrated circuit are reflowed to form joints with corresponding contact pads on the substrate, the underfill adhesive reflows and is completely cured. In an alternative embodiment, the underfill adhesive is fully cured after it is disposed onto the active surface of the wafer.Type: GrantFiled: August 19, 2002Date of Patent: August 7, 2007Assignee: National Semiconductor CorporationInventors: Luu T. Nguyen, Hau T. Nguyen, Viraj A. Patwardhan, Nikhil Kelkar, Shahram Mostafazadeh
-
Patent number: 7253079Abstract: A coplanar mounting member for a MEM sensor includes a first surface coplanar with a connection pad on the surface of a MEM sensor board containing the MEM sensor control circuit; a second surface inclined to the surface of the board for mounting a MEM sensor and an electrical conductor array for interconnecting the MEM sensor with the connection pad on the board.Type: GrantFiled: May 9, 2002Date of Patent: August 7, 2007Assignee: The Charles Stark Draper Laboratory, Inc.Inventors: David S. Hanson, Richard S. Anderson, Thomas F. Marinis, Joseph W. Soucy
-
Patent number: 7253080Abstract: A method of fabricating a semiconductor-on-insulator semiconductor substrate is disclosed that includes providing first and second semiconductor substrates. Either oxygen or nitrogen is introduced into a region adjacent the surface of the first semiconductor substrate and a rare earth and hydrogen are implanted at different energy levels into the second semiconductor substrate to produce a rare earth rich region adjacent the surface and a hydrogen layer spaced from the surface. The surface of the first semiconductor substrate is bonded to the surface of the second semiconductor substrate in a process that includes annealing to react either the oxygen or the nitrogen with the rare earth to form an interfacial insulating layer of either rare earth oxide or rare earth nitride. During the anneal the hydrogen layer is blistered and a portion of the second semiconductor substrate is removed and the surface polished to form a thin crystalline active layer on the interfacial insulating layer.Type: GrantFiled: February 9, 2005Date of Patent: August 7, 2007Assignee: Translucent Inc.Inventor: Petar B. Atanackovic
-
Patent number: 7253081Abstract: A method for treating a film of material, which can be defined on a substrate, e.g., silicon. The method includes providing a substrate comprising a cleaved surface, which is characterized by a predetermined surface roughness value. The substrate also has a distribution of hydrogen bearing particles defined from the cleaved surface to a region underlying said cleaved surface. The method also includes increasing a temperature of the cleaved surface to greater than about 1,000 Degrees Celsius while maintaining the cleaved surface in an etchant bearing environment to reduce the predetermined surface roughness value by about fifty percent and greater. Preferably, the value can be reduced by about eighty or ninety percent and greater, depending upon the embodiment.Type: GrantFiled: June 26, 2001Date of Patent: August 7, 2007Assignee: Silicon Genesis CorporationInventors: Sien G. Kang, Igor J. Malik
-
Patent number: 7253082Abstract: A plurality of recessed portions having different depths is formed in a surface of the active layer wafer or in a bonding surface of the supporting substrate wafer. Those wafers are bonded to each other with an insulation film interposed therebetween. This allows a cavity of higher dimensional precision to be buried therein. A plurality of cavities may be formed simultaneously in a plurality of locations within the plane of the substrate, which allows the thickness of the SOI layer to be set arbitrarily. Accordingly, such a semiconductor device can be fabricated easily in which a MOS type element and a bipolar element are formed on the same chip in a mixed manner.Type: GrantFiled: October 22, 2003Date of Patent: August 7, 2007Assignee: Sumitomo Mitsubishi Silicon CorporationInventors: Naoshi Adachi, Masahiko Nakamae
-
Patent number: 7253083Abstract: First and second semiconductor wafers are bonded together, with at least one of the wafers having a first layer of silicon, an intermediate oxide layer and a second layer of silicon. The first silicon layer is initially mechanically reduced by around 80% to 90% of its thickness. The remaining silicon layer is further reduced by a plasma etch which may leave an uneven thickness. With appropriate masking the uneven thickness is made even by a second plasma etch. Remaining silicon is removed by a dry etch with XeF2 or BrF3 to expose the intermediate oxide layer. Prior to bonding, the semiconductor wafers may be provided with various semiconductor devices to which electrical connections are made through conducting vias formed through the exposed intermediate oxide layer.Type: GrantFiled: June 17, 2005Date of Patent: August 7, 2007Assignee: Northrop Grumman CorporationInventors: Rowland C. Clarke, Erica C. Elvey, Silai V. Krishnaswamy, Jeffrey D. Hartman
-
Patent number: 7253084Abstract: A liquid injector is used to vaporize and inject a silicon precursor into a process chamber to form silicon-containing layers during a semiconductor fabrication process. The injector is connected to a source of silicon precursor, which preferably comprises liquid trisilane in a mixture with one or more dopant precursors. The mixture is metered as a liquid and delivered to the injector, where it is then vaporized and injected into the process chamber.Type: GrantFiled: September 3, 2004Date of Patent: August 7, 2007Assignee: ASM America, Inc.Inventors: Michael A. Todd, Ivo Raaijmakers
-
Patent number: 7253085Abstract: The invention includes a method for selective deposition of semiconductor material. A substrate is placed within a reaction chamber. The substrate comprises a first surface and a second surface. The first and second surfaces are exposed to a semiconductor material precursor under conditions in which growth of semiconductor material from the precursor comprises a lag phase prior to a growth phase, and under which it takes longer for the growth phase to initiate on the second surface than on the first surface. The exposure of the first and second surfaces is conducted for a time sufficient for the growth phase to occur on the first surface, but not long enough for the growth phase to occur on the second surface.Type: GrantFiled: January 5, 2006Date of Patent: August 7, 2007Assignee: Micron Technology, Inc.Inventors: Eric R. Blomiley, Gurtej S. Sandhu, Cem Basceri, Nirmal Ramaswamy
-
Patent number: 7253086Abstract: A method of forming an integrated circuit transistor (50). The method provides a first semiconductor region (52) and forms (110) a gate structure (54x) in a fixed position relative to the first semiconductor region. The gate structure has a first sidewall and a second sidewall (59x). The method also forms at least a first layer (58x, 60x) adjacent the first sidewall and the second sidewall. The method also forms (120) at least one recess (62x) in the first semiconductor region and extending laterally outward from the gate structure. Additional steps in the method are first, oxidizing (130) the at least one recess such that an oxidized material is formed therein, second, stripping (140) at least a portion of the oxidized material, and third, forming (160) a second semiconductor region (66x) in the at least one recess.Type: GrantFiled: October 18, 2004Date of Patent: August 7, 2007Assignee: Texas Instruments IncorporatedInventor: Lindsey Hall
-
Patent number: 7253087Abstract: The invention provides a transfer technique by which the dimensional precision of a thin-film device is not deteriorated, even if the device is produced by transferring a fine structure or a thin-film circuit layer onto a substrate with an inferior shape-stability. The method includes: forming a fine structure or a thin-film circuit layer on a first substrate using a photolithographic patterning process; shifting the fine structure or the thin-film circuit layer from the first substrate onto a second substrate, or shifting the fine structure or the thin-film circuit layer from the first substrate onto the second substrate via a third substrate; and forming a thin-film pattern on the fine structure or the thin-film circuit layer shifted onto the second substrate by a non-photolithographic method.Type: GrantFiled: May 21, 2004Date of Patent: August 7, 2007Assignee: Seiko Epson CorporationInventor: Sumio Utsunomiya
-
Patent number: 7253088Abstract: A stress-relief layer is formed by dispensing a polymer upon a substrate lower surface under conditions to partially embed a low melting-point solder bump that is disposed upon the lower surface. The stress-relief layer flows against the low melting-point solder bump. A stress-compensation collar is formed on a board to which the substrate is mated, and the stress-compensation collar partially embeds the low melting-point solder bump. An article that exhibits a stress-relief layer and a stress-compensation collar is also included. A computing system that includes the low melting-point solder, the stress-relief layer, and the stress-compensation collar is also included.Type: GrantFiled: September 29, 2004Date of Patent: August 7, 2007Assignee: Intel CorporationInventors: Daewoong Suh, Saikumar Jayaraman, Stephen E. Lehman, Mitesh Patel, Tiffany A. Byrne, Edward L. Martin, Mohd Erwan B. Basiron, Wei Keat Loh, Sheau Hooi Lim, Yoong Tatt P. Chin
-
Patent number: 7253089Abstract: Microfeature devices, microfeature workpieces, and methods for manufacturing microfeature devices and microfeature workpieces are disclosed herein. The microfeature workpieces have an integrated circuit, a surface, and a plurality of interconnect elements projecting from the surface and arranged in arrays on the surface. In one embodiment, a method includes forming a coating on the interconnect elements of the microfeature workpiece, producing a layer over the surface of the microfeature workpiece after forming the coating, and removing the coating from at least a portion of the individual interconnect elements. The coating has a surface tension less than a surface tension of the interconnect elements to reduce the extent to which the material in the layer wicks up the interconnect elements and produces a fillet at the base of the individual interconnect elements.Type: GrantFiled: June 14, 2004Date of Patent: August 7, 2007Assignee: Micron Technology, Inc.Inventors: Shijian Luo, Tongbi Jiang
-
Patent number: 7253090Abstract: A chip scale package has a semiconductor MOSFET die which has a top electrode surface covered with a layer of a photosensitive liquid epoxy which is photolithographically patterned to expose portions of the electrode surface and to act as a passivation layer and as a solder mask. A solderable contact layer is then formed over the passivation layer. The individual die are mounted drain side down in a metal clip or can with the drain electrode disposed coplanar with a flange extending from the can bottom.Type: GrantFiled: April 27, 2005Date of Patent: August 7, 2007Assignee: International Rectifier CorporationInventors: Martin Standing, Hazel Deborah Schofield
-
Patent number: 7253091Abstract: A method for assembling an electronic system with a plurality of layers. Recesses in formed in one or more dielectric layers and electronic components are positioned within the recesses. One or more layers containing the components are placed on a host substrate containing host circuits. Electrical interconnects are provided between and among the electronic components in the dielectric layers and the host circuits. The layers containing the components may also be provided by growing the electronic devices on a growth substrate. The growth substrate is then removed after the layer is attached to the host substrate.Type: GrantFiled: September 26, 2002Date of Patent: August 7, 2007Assignee: HRL Laboratories, LLCInventors: Peter D. Brewer, Michael G. Case, Andrew T. Hunter, Mehran Matloubian, John A. Roth, Carl W. Pobanz
-
Patent number: 7253092Abstract: Disclosed herein is a method of making integrated circuits. In one embodiment the method includes forming tungsten plugs in the integrated circuit and forming electrically conductive interconnect lines in the integrated circuit after formation of the tungsten plugs. At least one tungsten plug is electrically connected to at least one electrically conductive interconnect line. Thereafter at least one electrically conductive interconnect line is contacted with water for a period of time less than 120 minutes.Type: GrantFiled: June 24, 2003Date of Patent: August 7, 2007Assignee: NEC Electronics America, Inc.Inventors: Elizabeth A. Dauch, John W. Jacobs
-
Patent number: 7253093Abstract: A method for fabricating an interconnection in an insulating layer on a wafer is described. A wafer having a plurality of conductive lines thereon is provided. An insulating layer is formed over the conductive lines. Two via holes are formed in the insulating layer to expose two of the conductive lines waiting to be repaired. A first conductive layer is filled into the via holes to form two pattern marks. A mask is formed over the wafer to cover the insulating layer and the two pattern marks. The mask located above and between the two pattern marks is removed to form a trench exposing the two pattern marks and a portion of the insulating layer. A second conductive layer is formed over the mask to cover the two exposed pattern marks and the exposed insulating layer. The mask and the second conductive layer above the mask are removed simultaneously.Type: GrantFiled: February 5, 2005Date of Patent: August 7, 2007Assignee: United Microelectronics Corp.Inventors: Steven G S Lin, Su-Ping Chiu
-
Patent number: 7253094Abstract: A method for processing a semiconductor topography which includes removing metal oxide layers from the bottom of contact openings is provided. In some embodiments, the method may include etching openings within a dielectric layer to expose conductive and silicon surfaces within the semiconductor topography is provided. In such cases, the method further includes exposing the semiconductor topography to an etch process adapted to remove metal oxide material from the conductive surfaces without substantially removing material from the silicon surfaces. In some cases, the etch chemistry used for the etch process may include sulfuric acid. In addition or alternatively, the etch chemistry may include hydrogen peroxide. In any case, the etch chemistry may be distinct from chemistries used to remove residual matter generated from the etch process used to form the openings within the dielectric and/or the removal of the masking layer used to pattern the openings.Type: GrantFiled: November 19, 2004Date of Patent: August 7, 2007Assignee: Cypress Semiconductor Corp.Inventors: Jie Zhang, Vinay Krishna, Chan-Lon Yang
-
Patent number: 7253095Abstract: An air gap structure and formation method for substantially reducing the undesired capacitance between adjacent interconnects, metal lines or other features in an integrated circuit device is disclosed. The air gap extends above, and may also additionally extend below, the interconnects desired to be isolated thus minimizing fringing fields between the lines. The integrated air gap structure and formation method can be utilized in conjunction with either damascene or conventional integrated circuit metallization schemes. Also, multiple levels of the integrated air gap structure can be fabricated to accommodate multiple metal levels while always ensuring that physical dielectric layer support is provided to the device structure underlying the interconnects.Type: GrantFiled: July 11, 2005Date of Patent: August 7, 2007Assignee: United Microelectronics CorporationInventors: Water Lur, David Lee, Kuang-Chih Wang, Ming-Sheng Yang
-
Patent number: 7253096Abstract: A bipolar transistor with raised extrinsic base and selectable self-alignment between the extrinsic base and the emitter is disclosed. The fabrication method may include the formation of a predefined thickness of a first extrinsic base layer of polysilicon or silicon on an intrinsic base. A dielectric landing pad is then formed by lithography on the first extrinsic base layer. Next, a second extrinsic base layer of polysilicon or silicon is formed on top of the dielectric landing pad to finalize the raised extrinsic base total thickness. An emitter opening is formed using lithography and RIE, where the second extrinsic base layer is etched stopping on the dielectric landing pad. The degree of self-alignment between the emitter and the raised extrinsic base is achieved by selecting the first extrinsic base layer thickness, the dielectric landing pad width, and the spacer width.Type: GrantFiled: November 30, 2005Date of Patent: August 7, 2007Assignee: International Business Machines CorporationInventors: Marwan H. Khater, James S. Dunn, David L. Harame, Alvin J. Joseph, Qizhi Liu, Francois Pagette, Stephen A. St. Onge, Andreas D. Stricker
-
Patent number: 7253097Abstract: An integrated circuit system includes providing a semiconductor substrate having a semiconductor device provided thereon. A first dielectric layer is formed over the semiconductor substrate, and a first conductor core is formed in the first dielectric layer. A stop layer is formed over the first conductor core. A second dielectric layer is formed over the stop layer. A channel and a via are formed in the second dielectric layer. The channel and the via in the second dielectric layer are wet cleaned. A barrier metal layer is deposited to line the channel and the via in the second dielectric layer. The barrier metal layer is selectively etched from the bottom of the via in the dielectric layer, and a second conductor core is formed over the barrier metal layer to fill the second channel and the via to connect the second conductor core to the first conductor core.Type: GrantFiled: June 30, 2005Date of Patent: August 7, 2007Assignee: Chartered Semiconductor Manufacturing, Ltd.Inventors: Yeow Kheng Lim, Chim Seng Seet, Tae Jong Lee, Liang-Choo Hsia, Kin Leong Pey
-
Patent number: 7253098Abstract: A chemical mechanical polishing (CMP) step is used to remove excess conductive material (e.g., Cu) overlying a low-k or ultralow-k interlevel dielectric layer (ILD) layer having trenches filled with conductive material, for a damascene interconnect structure. A reactive ion etch (RIE) or a Gas Cluster Ion Beam (GCIB) process is used to remove a portion of a liner which is atop a hard mask. A wet etch step is used to remove an oxide portion of the hard mask overlying the ILD, followed by a final touch-up Cu CMP (CMP) step which chops the protruding Cu patterns off and lands on the SiCOH hard mask. In this manner, processes used to remove excess conductive material substantially do not affect the portion of the hard mask overlying the interlevel dielectric layer.Type: GrantFiled: August 27, 2004Date of Patent: August 7, 2007Assignee: International Business Machines CorporationInventors: Shyng-Tsong T. Chen, Kaushik Arun Kumar, Stephen Edward Greco, Shom Ponoth, Terry Allen Spooner, David L. Rath, Wei-Tsu Tseng
-
Patent number: 7253099Abstract: According to some embodiments, a gate electrode structure including a gate electrode stack and a spacer, and source/drain region are formed on a semiconductor substrate. A first interlayer insulating layer having a thickness greater than that of the gate electrode structure is formed on the semiconductor substrate. On the first interlayer insulating layer, an etch inducing and focusing mask extending in a same direction as a length direction of the gate electrode structure and covering the gate electrode structure is formed. A second interlayer insulating layer is formed on the first interlayer insulating layer. A photoresist pattern is formed on the second interlayer insulating layer. The second interlayer insulating layer and the first interlayer insulating layer are sequentially etched using the photoresist pattern as an etch mask, thereby forming a SAC hole. A conductive material is used to fill in the SAC hole to form a SAC pad.Type: GrantFiled: September 30, 2004Date of Patent: August 7, 2007Assignee: Samsung Electronics Co., Ltd.Inventors: Jae-Hee Hwang, Jeong-Yun Lee, Tae-Ryong Kim, Yong-Hyeon Park
-
Patent number: 7253100Abstract: Methods are disclosed for reducing damage to an ultra-low dielectric constant (ULK) dielectric during removal of a planarizing layer such as a crosslinked polymer. The methods at least partially fill an opening with an at most lightly crosslinked polymer, followed by the planarizing layer. When the at most lightly crosslinked polymer and planarizing layer are removed, the at most lightly crosslinked polymer removal is easier than removal of the planarizing layer, i.e., crosslinked polymer, and does not damage the surrounding dielectric compared to removal chemistries used for the crosslinked polymer.Type: GrantFiled: November 17, 2005Date of Patent: August 7, 2007Assignee: International Business Machines CorporationInventors: Ronald A. DellaGuardia, Daniel C. Edelstein, Habib Hichri, Vincent J. McGahay
-
Patent number: 7253101Abstract: Provided is a method of depositing a metal nitride film having a multilayer structure and different deposition speeds on a substrate. The method is performed by forming a first lower metal nitride film on the substrate at a first deposition speed, forming a second lower metal nitride film on the first lower metal nitride film at a second deposition speed, and forming an upper metal nitride film having a large content of nitrogen (N) on a lower TiN film which is formed by the forming of the first lower metal nitride film and the second lower metal nitride film, at a third deposition speed, to improve stability with respect to exposure to air/moisture. The deposition speed of the metal nitride film having a multi-layer structure satisfies a relationship that the second deposition speed?the first deposition speed?the third deposition speed.Type: GrantFiled: August 17, 2005Date of Patent: August 7, 2007Assignee: IPS Ltd.Inventors: Young Hoon Park, Sahng Kyoo Lee, Tae Wook Seo
-
Patent number: 7253102Abstract: An enhanced-surface-area conductive layer compatible with high-dielectric constant materials is created by forming a film or layer having at least two phases, at least one of which is electrically conductive. The film may be formed in any convenient manner, such as by chemical vapor deposition techniques, which may be followed by an anneal to better define and/or crystallize the at least two phases. The film may be formed over an underlying conductive layer. At least one of the at least two phases is selectively removed from the film, such as by an etch process that preferentially etches at least one of the at least two phases so as to leave at least a portion of the electrically conductive phase. Ruthenium and ruthenium oxide, both conductive, may be used for the two or more phases. Iridium and its oxide, rhodium and its oxide, and platinum and platinum-rhodium may also be used. A wet etchant comprising ceric ammonium nitrate and acetic acid may be used.Type: GrantFiled: June 2, 2004Date of Patent: August 7, 2007Assignee: Micron Technology, Inc.Inventors: Cem Basceri, Mark Visokay, Thomas M. Graettinger, Steven D. Cummings
-
Patent number: 7253103Abstract: Provided is a reliable semiconductor device with a layered interconnect structure that may develop no trouble of voids and interconnect breakdowns, in which the layered interconnect structure comprises a conductor film and a neighboring film as so layered on a semiconductor substrate that the neighboring film is contacted with the conductor film.Type: GrantFiled: March 30, 2006Date of Patent: August 7, 2007Assignee: Hitachi, Ltd.Inventors: Tomio Iwasaki, Hideo Miura
-
Patent number: 7253104Abstract: The invention includes methods of forming particle-containing materials, and also includes semiconductor constructions comprising particle-containing materials. One aspect of the invention includes a method in which a first monolayer is formed across at least a portion of a semiconductor substrate, particles are adhered to the first monolayer, and a second monolayer is formed over the particles. Another aspect of the invention includes a construction containing a semiconductor substrate and a particle-impregnated conductive material over at least a portion of the semiconductor substrate. The particle-impregnated conductive material can include tungsten-containing particles within a layer which includes tantalum or tungsten.Type: GrantFiled: December 1, 2003Date of Patent: August 7, 2007Assignee: Micron Technology, Inc.Inventors: Garo J. Derderian, Gurtej S. Sandhu
-
Patent number: 7253105Abstract: The present invention relates to methods of improving the fabrication of interconnect structures of the single or dual damascene type, in which there is no problem of hard mask retention or of conductivity between the metal lines after fabrication. The methods of the present invention include at least steps of chemical mechanical polishing and UV exposure or chemical repair treatment which steps improve the reliability of the interconnect structure formed. The present invention also relates to an interconnect structure which include a porous ultra low k dielectric of the SiCOH type in which the surface layer thereof has been modified so as to form a gradient layer that has both a density gradient and a C content gradient.Type: GrantFiled: February 22, 2005Date of Patent: August 7, 2007Assignee: International Business Machines CorporationInventors: Christos D. Dimitrakopoulos, Stephen M. Gates, Vincent J. McGahay, Sanjay C. Mehta
-
Patent number: 7253106Abstract: A method to electrolessly plate a CoWP alloy on copper in a reproducible manner that is effective for a manufacturable process. In the method, a seed layer of palladium (Pd) is deposited on the copper by an aqueous seeding solution of palladium acetate, acetic acid and chloride. Thereafter, a complexing solution is applied to remove any Pd ions which are adsorbed on surfaces other than the copper. Finally, a plating solution of cobalt (Co), tungsten (W) and phosphorous (P) is applied to the copper so as to deposit a layer of CoWP on the Pd seed and copper.Type: GrantFiled: December 22, 2004Date of Patent: August 7, 2007Assignee: International Business Machines CorporationInventors: Darryl D. Restaino, Donald F. Canaperi, Judith M. Rubino, Sean P. E. Smith, Richard O. Henry, James E. Fluegel, Mahadevaiyer Krishnan
-
Patent number: 7253107Abstract: A pressure control system allows gas to be evacuated out of a semiconductor process chamber at a substantially constant rate of mass flow. A gas line connects the process chamber to a vacuum pump. A controllable valve having a variable sized opening is positioned between the process chamber and the vacuum pump. A pressure sensor is in turn positioned between the valve and the vacuum pump, proximate the inlet to the vacuum pump. The size of the variable sized opening is regulated based upon the pressure in the gas line measured by the pressure sensor. The size of the valve opening is varied to maintain the pressure measured by the pressure sensor at a constant value. As a result, because the quantity of gas flowing through the gas line is proportional to the gas pressure, a substantially constant mass flow of gas out of the chamber and into the pump can be achieved.Type: GrantFiled: June 17, 2004Date of Patent: August 7, 2007Assignee: ASM International N.V.Inventor: Gert Jan Snijders
-
Patent number: 7253108Abstract: The process for forming a film of TiSiN includes the following sequence of steps: deposition of a TiN film at medium temperature, for example, 300-450° C., by thermal decomposition of a metallorganic precursor, for example TDMAT (Tetrakis Dimethylamino Titanium); exposition to a silicon releasing gas, such as silane (SiH4) and dichlorosilane (SiH2Cl2) at 10-90 sccm—standard cube centimeters per minute—for a quite long time, for example, longer than 10 s but less than 90 s, preferably about 40 s; exposition to a H2/N2 plasma at 200-800 sccm, for 10-90 s, preferably about 40 s.Type: GrantFiled: May 25, 2004Date of Patent: August 7, 2007Assignees: STMicroelectronics S.r.l., OVONYX, Inc.Inventor: Romina Zonca
-
Patent number: 7253109Abstract: We have discovered a method of providing a thin, approximately from about 2 ? to about 100 ? thick TaN seed layer, which can be used to induce the formation of alpha tantalum when tantalum is deposited over the TaN seed layer. Further, the TaN seed layer exhibits low resistivity, in the range of 30 ??cm and can be used as a low resistivity barrier layer in the absence of an alpha tantalum layer. In one embodiment of the method, a TaN film is altered on its surface to form the TaN seed layer. In another embodiment of the method, a Ta film is altered on its surface to form the TaN seed layer.Type: GrantFiled: February 28, 2005Date of Patent: August 7, 2007Assignee: Applied Materials, Inc.Inventors: Peijun Ding, Zheng Xu, Hong Zhang, Xianmin Tang, Praburam Gopalraja, Suraj Rengarajan, John C. Forster, Jianming Fu, Tony Chiang, Gongda Yao, Fusen E. Chen, Barry L. Chin, Gene Y. Kohara